Unit 16 Problem Solutions

Size: px
Start display at page:

Download "Unit 16 Problem Solutions"

Transcription

1 5.28 (contd) I. None II. (4, 7)ü (6, 7)ü (2, 4)ü (2, 6)ü Assignment: S =, =, =, =, = A B S Present ate Next ate W = Output S S S Present ate Next ate W = Output T input equations derived from the transition table using arnaugh maps: T A = ; T B = WA; T = WB AB; = WAB 5.29 By inspecting incoming arrows, we get: = = Y Y = = Y Y S 3 2 = = Y Y 3 = = Y Y S = Y P = Y Y Y Y S Y S Y Y Y S Y P 5.3 By inspecting incoming arrows, we get: = = Y Y Y = = Y Y Y = 2 = Y Y Y Y = Y Y Y = Y Y Unit 6 Problem Solutions See Lab Solutions in this manual. 6.5 See FL p. 662 for solution. 6.6 See FL p. 662 for solution. 6.7 (a) The state meanings are given in the following table: Name S Meaning No s have occurred One has occurred (an odd number < 2) Two s or an even number of s > 2 have occurred An odd number of s > 2 has occurred. S 3

2 6.7 (b) ate Next ate = = S S I: (, 3) II: (, ) (, 2) (2, 3) x i a i b i a i = x i a i x i a i x a i i x i a i a i = x i a i x i a i a i b i a i S ate a i b i = = a i b i S x i a i b i b i = b i x i a i x i a i bi a b i i b i b i = b i x i a i z = a n b n Note: Solution on FL p. 622 uses state assignment S =, =, =, =. 6.7 (c) Since no s have occurred, a and b are the same as S or, a = ; b = ; a i = x a x a = x ; b first cell i = b x a = } 6.7 (d) x x x 2 x n a b ell a 2 a 3 b2 ell 2 b3 an bn ell n a n bn Output ircuit 6.8 (a) N i = i = ( i FB i ALL i )R i = i R i FB i R i ALL i R i alli FBi Ri i i N i lock 3

3 6.8 (b) N R O, N N 2, N N 2 N N 2 UP FS 2 UP F R2 O N 2 R2 O, S N N 2 N, N 2 F R O N N 2 OWN Name S Meaning aying on first floor Moving from first to second floor aying on second floor Moving from second to first floor FS OWN 6.8 (c) With the state assignment S =, =, =, =, we have: = F F ; 2 = F F N N 2 N N 2 R = F N ; R 2 = F N 2 ; UP =F N N 2 OWN = F N N 2 ; O = F F N N (a) H LRH H LH LRH LH S LH LH RH RH H - H H RH LRH RH H H H Outputs: L, LB, LA, RA, RB, R H 6.9 (b) First, assign L =, LB =, LA =, RA = 4, RB = 5, R = 6. So S =, =, =, etc. This state machine has too many state variables to use arnaugh maps. Instead, we will write down equations for each flip-flop by inspection. First consider. = in states or only. is reached whenever H = and we are not already in : H( ). But is the only state in which both = and 4 =, so assuming we are always in a valid state, we can use H( 4 ) = H H 4. Note: Any combination of one left light and one right light will also work, i.e. H H 5. is reached whenever we are in and L = while H = : LH But = whenever =, and 4 = 5 = 6 = whenever =. So we can use LH. 32

4 6.9 (b) (contd) So = LH H H 4 = L H H 4 (using Y = Y) Similarly = in states,, and only. and are reached whenever we are in or and L = while H =. LH LH = LH But again, 4 = 5 = 6 = whenever =, so 2 = L H H 4 We can also get by inspection: 3 = L 4 H H 4 ; 4 = R 6 H H 4 ; 5 = R 4 6 H H 4 ; 6 = R 5 6 H H (c) ate LRH = L LB LA RA RB R S S - - S S - - S S - - S S S - - S S - - S S - - S S S - - S S S S S S - - I. (S,,,,,, ) for in LRH =,, (,,,, ) for S in LRH = (,,,, ) for S in LRH = II. Every state matches S and. But S and match the best, so (S, ) (many times) III. (,,, ) (,,, ) etc. From LogicAid: So = H R H L H R 2 = RH RH LH 3 = LH LH RH L = ; LB = ; LA = R = ; RB = ; RA = Other minimum solutions can be found for 2 and 3 with this assignment. S 33

5 6.2 ST, FF RE RE FF PL PL ILE FF ST, FF, RE ST, RE Note: This state graph assumes that only one of the buttons ST, PL, RE, and FF can be pressed at any given time. The graph is incompletely specified and must be augmented before using LogicAid. For example, the arc from REW to PLAY should be labeled PL ST FF. ST REW R ST FF PL PL PL RE PLAY P ST RE FF PL PL FF FFW F ST RE PL ST ST M ST M SBA R ST M ST M SFW F ST RE FF PL M PLA P R F = ST FF PS ST RE PL ST M 2 = ST FF ST RE ST RE PL ST FF PL 3 = ST RE FF ST RE FF ST FF PL ST RE ST M ST ST RE PL P = ; R = ; F = lock 6.2 (a) S, 34

6 6.2 (b) ate Next ate x i = x i = S S a i b i a i b i x i = x i = b i a i S x i a i b i a i = (x i a i ) (x i b i ) x i a i b i b i = (x i b i ) (x i a i ) a n b n = a n 6.2 (c) a = b = a = (x ) (x ) = x b = (x ) (x ) = x 6.2 (d) x x 2 x n x a b ell a 2 a 3 b2 ell 2 b3 an bn ell n a n bn Unit 7 Problem Solutions 7. See FL p. 664 for solution. 7.2 See FL p. 665 for solution. 7.3 (a, b) (7)(6)(5)(4) (3)(2)()() O L O Up/own ENT LRn LOA ENP UP O L O Up/own LRn LOA ENT ENP UP (7)(6)(5)(4) See FL p for solutions. (3)(2)()() 7.4 See FL p for solution. 7.5 See FL p. 667 for solution. 35

7 7.6 (a, b) See FL p for solutions ROM 2 2 L L 7.7 (a) See FL p. 668 for solution. () (2) E E A B L L A A() A B B() A A(2) A B B(2) 7.7 (b) () (2) E E A B L A L A A() B() A(2) B(2) 7.8 See FL p. 668 for solution. 36

8 7.9 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity srff is port (clk, s, r : in std_logic; q, qn : out std_logic); end srff; architecture Behavioral of srff is signal qint : std_logic:=; q <= qint; qn <= not qint; process(clk) if clkevent and clk= then if (not s and r)= then qint <= ; elsif (s and not r)= then qint<=; elsif (s and r)= then qint<=; end if; end if; end Behavioral; 7. library IEEE; 7. A rising edge triggered -E flip flop with asynchronous clear and preset. use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; -- -G Latch entity dglatch is port (d, g : in bit; q : out bit); end dglatch; architecture Behavioral of dglatch is process(g, d) if g= then q <= d; end if; end Behavioral; -- flip flop using -G latches library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity dff is port (d, clk : in bit; q : out bit); end dff; architecture Behavioral of dff is component dglatch is port (d, g: in bit; q : out bit); end component; signal p, clkn : bit; clkn <= not clk; dg : dglatch port map(d, clkn, p); dg2 : dglatch port map(p, clk, q); end Behavioral; 7.2 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; 8 use IEEE.ST_LOGI_UNGNE.ALL; En entity myreg is int port(en, ld, clk : in std_logic; 8 d : in std_logic_vector(7 downto ); q : out std_logic_vector(7 downto )); 8-bit Register end myreg; architecture Behavioral of myreg is 8 signal qint : std_logic_vector(7 downto ):=""; q <= qint when en = else ""; process(clk) if clk event and clk= then if ld= then qint <= d; end if; end if; end Behavioral; 37

9 7.3 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity encoder is port (y, y, y2, y3 : in bit; a, b, c : out bit); end encoder; architecture Behavioral of encoder is process(y, y, y2, y3) if y3= then a <= ; b <= ; c <= ; -- y3 has highest priority elsif y2= then a <= ; b <= ; c <= ; elsif y= then a <= ; b <= ; c <= ; elsif y= then a <= ; b <= ; c <= ; else a <= ; b <= ; c <= ; end if; end Behavioral; 7.4 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity comparator is port (a, b : in std_logic_vector(3 downto ); agb, alb, aeb : out std_logic); end comparator; architecture Behavioral of comparator is process(a, b) if a > b then agb <= ; alb <= ; aeb <= ; elsif a < b then agb <= ; alb <= ; aeb <= ; else agb <= ; alb <= ; aeb <= ; end if; end Behavioral; 7.5 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity super is port (a: in std_logic_vector(2 downto ); d : in std_logic_vector(5 downto ); rsi, lsi, clk : in std_logic; q : out std_logic_vector(5 downto )); end super; architecture Behavioral of super is signal qint: std_logic_vector(5 downto ); q <= qint; process(clk) if clk event and clk= then case a is when ""=> qint <= d; when ""=> qint <= qint-; when ""=> qint <= qint; when ""=> qint <= ""; when ""=> qint <= ""; 7.6 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity bcd_seven is port (bcd : in bit_vector(3 downto ); seven : out bit_vector(7 downto )); end bcd_seven; architecture Behavioral of bcd_seven is process(bcd) case bcd is when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> seven <= ""; when ""=> qint <= rsi&qint(5 downto ); when ""=> qint <= qint(4 downto )&lsi; when others=> NULL; end case; end Behavioral; end case; end if; end Behavioral; 38

10 7.7 library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity sm is port (x, clk : in std_logic; z : out std_logic); end sm; architecture Behavioral of sm is type rom8_3 is array( to 7) of std_logic_vector( to 2); constant myrom: rom8_3 :=("","","","","","", "",""); signal index, romout: std_logic_vector( to 2); signal q, d: std_logic_vector( to 2):=""; index <= x&q; romout <= myrom(conv_integer(index)); z <= romout(); d <= romout( to 2); process(clk) if clk event and clk= then q <= d; end if; end Behavioral; 7.8 S --The state assignment is as follows (qqq2q3)- --S - ; S - ; S2 - ; S3 - --VHL code using equations derived by inspection from state graph entity sm is port (x, clk : in bit; z : out bit); end sm; architecture equations of sm is signal q : bit := ; signal q, q2, q3 : bit:=; process(clk) if clkevent and clk= then q <= (x and q) or (not x and q) or (not x and q3); q <= (not x and q) or (x and q3); q2 <= (x and q2) or (x and q); q3 <= not x and q2; end if; z <= (not x and q) or (x and q3) or q2; end equations; 7.9 ate Next ate = = Output = = S S S S 7.2 ate Next ate = = Output S S S S 39

11 7.2 ate Next ate 2 = S S S S S 7.22 library IEEE; 7.23 ore Set M 8 8 Mask Register library IEEE; use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity Seq_43 is port (, : in std_logic; : out std_logic); end Seq_43; architecture Moore of Seq_43 is signal ate : integer := ; signal Nextate : integer range to 3; process(ate, ) case ate is when => <= ; if = then Nextate <= ; else Nextate <= ; end if; when => <= ; if = then Nextate <= 2; else Nextate <= ; end if; when 2 => <= ; if = then Nextate <= ; else Nextate <= 3; end if; when 3 => <= ; if = then Nextate <= 2; else Nextate <= ; end if; end case; process() if event and = then ate <= Nextate; end if; end Moore; 8 8 use IEEE.ST_LOGI_64.ALL; use IEEE.ST_LOGI_ARITH.ALL; use IEEE.ST_LOGI_UNGNE.ALL; entity mask_8 is port ( : in std_logic_vector(7 downto ); ore, Set, : in std_logic; : out std_logic_vector(7 downto )); end mask_8; architecture Behavioral of mask_8 is signal M : std_logic_vector(7 downto ); process(set, ) if Set= then M <= ""; elsif event and = then if ore= then M<=; end if; end if; <= M and ; end Behavioral; 4

12 Unit 8 Problem Solutions 8.3 See FL p. 669 for circuit. Notice that the output of the flip-flop is b in, while the input is b out. 8.4 See FL p. 67. AN-ing with x i is like M/Ad if x i is. ifting is like moving from AN gates involving x to those involving x 2, or from x 2 to x See FL p. 67. ompare to divider state graph of FL Figure 8-. S 8.6 See FL p (a) Overflow occurs only on division by, so V = y y y 2 y 3 y 4 = (y y y 2 y 3 y 4 ) 8.7 (b) - (d) See FL p See FL p The ONE AER is similar to a serial adder, except that there is only one input. This means that the carry will be added to. Thus, if the carry flip-flop is initially set to, will be added to the input. The signal I can be used to preset the carry flip-flop to. Let S represent arry =, and let represent arry =. The state graph is as follows:, I, S I PreN = ( ) = ( ) () ( ) = ( ) () ( ) or 4

13 8. (a) product O N T R O L M Load Ad one 7 4 A BIT AER multiplier multiplicand 8. (b) 8. (c) / - /one S /Load S9 S7 - / M/Ad M/ M/ add shift shift add shift M/Ad M/ S3 - / - / S4 M/Ad 8. (d) Present ate Next ate M: Ad Load one S S S S S S S I. (S, ) (, ) (, ) (, ) II. (S, ) (, ) (, ) (, ) III. (,, ) (,, ) etc. A B S (Other assignments are possible.) 42

14 8. (d) For this assignment, from LogicAid: (contd) J A = B M; A = M B ; J B = A; B = A; J = AB; = AB; Ad = MAB MA; = MA M AB A; Load = AB; one = AB M M Ad OR gates, AN gates, & inverters implement the equations from 8. (d) adder 4 5 multiplicand Ad one J A 3 3 multiplier J J B 8. (a) product O N T R O L M Load Ad one 8 5 A BIT AER multiplier multiplicand 43

15 8. (b) See solution to 8. (b). 8. (d) Graph is same as 8., so from LogicAid, using the same state assignment: A = AB MAB MA B = A AB = AB B A 8. (c) shift add shift add shift Ad,,, one: See solution to 8. (d) M A B A B Ad one M A B PLA Ad one M Ad FA FA FA FA FA multiplier multiplicand 44

16 8.2 (a) S Ad M M M 8.2 (b) ate ounter M Ad S S M M Ad 8.3 (a) (alternate solution) d 7 d 6 d 5 b 8 Full Subtracter b 7 Full Subtracter b 6 Full Subtracter b 5 = x 7 x 6 x 5 y 2 y divisor 8.3 (b) x 7 x 6 x 5 x 4 x 3 x 2 x x Subtracter- omparator Su ontrol V ivisor 8.3 (d) shift = sub. = shift = sub. = shift = shift = sub. = shift = sub. = = 8.3 (c) Su, S Su V Su Su Su remainder quotient 45

17 8.4 (a) 8.4 (b) x 7 x 6 x 5 x 4 x 3 x 2 x Subtracter- omparator y 4 y 3 y 2 y Su ontrol V S V Su, Su 8.4 (c) alternate solution d 7 d 6 d 5 d 4 d 3 omparator omparator F.A. F.A. F.A. F.A. F.A. x 7 x 6 y 4 x 5 y 3 x 4 y 2 x 3 y 8.4 (d) 8.5 (a) shift = sub. = shift = sub. = S R B B B B remainder quotient 8.5 (b) = ; = B B ; 2 = B B ; R = = B B B B = ; = B B = B B 8.6 (a) S o lr ounter 8.6 (b) S o S o Er S, lr ontroller S o Er S o So S o, 46

18 8.6 (c) = ; = ; 2 = ; lr= = ; Er= ; = 8.7 (a) ontrol ounter ift Register A ift Register B a b Logic Network 8.7 (b) S Present ate S S S S S 8.7 (c) I. (S, ) 2 (, ) (S, ) II. (S, ) 2 (, ) (S, ) 2 From arnaugh maps: S 8.7 (d) = ab ab ab = = = = ; = Alternative: = (a) ontrol ounter ift Register A ift Register B a b Logic Network 8.8 (b) S ate S Meaning Reset Find AN of A & B Find OR of A & B 47

19 8.8 (c) = ; = ; = ; = = (d) hange to in 8.7 (d) = ab ab ab 8.9 (b) - - S (a) Note: M can be determined independently of the state of the system, so it is not included in the state graph. 8.2 (a) ontrol M A B (c) J A = B; A = B; J B = A; B = ; ounter = A B; M = 2 2 ontroller 3 N 8.2 (b) B S S A 8.2 (c) ate AB S S S S = ; 2 = ; A = ; B = ; = 8.2 Ac, EnIn EnIn, Ad S EnAd, Ac ontrol EnAd Ad EnIn Ac one one one, EnAd, Ac EnIn, Ad 48

20 8.22 (a) ER ER (b) J = ST; = ER ER2; T2 L one = ER ER2 ; LR = ST; ER ER2 L2 = ST; L = ST ER ER2 ; one S S T = ER ; T2 = ER ER2 L2 L LR 8.22 (c) (N )N 2 cycles ER T 8.23 (a) S EERO one LR LOA IERO EERO OWN 8.23 (b) = EERO ; one = EERO ; LR = ; LOA = IERO EERO OWN = IERO EERO UP = IERO EERO IERO EERO 8.23 (d) The quotient counter reaches, and UP = UP LOA again (c) N (N /N 2 ) cycles (round down) 8.23 (e) The quotient will count upward forever, and one will never be N 8 ontrol ircuit Su Su 8-bit register 8 8 B 8-bit subtracter 8 "" 4 lr Inc 4-bit counter - start - load N into register and clear counter Su - load subtracter output into register Inc - increment counter B - borrow odd integer When the done signal comes on, square root is in the 4-bit counter B Su Inc S B one 49

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

COE 328 Final Exam 2008

COE 328 Final Exam 2008 COE 328 Final Exam 2008 1. Design a comparator that compares a 4 bit number A to a 4 bit number B and gives an Output F=1 if A is not equal B. You must use 2 input LUTs only. 2. Given the following logic

More information

Unit 12 Problem Solutions

Unit 12 Problem Solutions Unit 2 Problem Solutions 2. onsider 3 Y = Y Y Y, that is, we need to add Y to itself 3 times. First, clear the accumulator before the first rising clock edge so that the -register is. Let the d pulse be

More information

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 1 DIGITAL SYSTEM DESIGN LAB (EE-330-F) DIGITAL SYSTEM DESIGN LAB (EE-330-F) LAB MANUAL VI SEMESTER RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 Department Of Electronics & Communication

More information

7 Multipliers and their VHDL representation

7 Multipliers and their VHDL representation 7 Multipliers and their VHDL representation 7.1 Introduction to arithmetic algorithms If a is a number, then a vector of digits A n 1:0 = [a n 1... a 1 a 0 ] is a numeral representing the number in the

More information

Digital Control of Electric Drives

Digital Control of Electric Drives Digital Control of Electric Drives Logic Circuits - equential Description Form, Finite tate Machine (FM) Czech Technical University in Prague Faculty of Electrical Engineering Ver.. J. Zdenek 27 Logic

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page 1 of 13 COE 202: Digital Logic Design (3-0-3) Term 112 (Spring 2012) Final

More information

COMPUTERS ORGANIZATION 2ND YEAR COMPUTE SCIENCE MANAGEMENT ENGINEERING UNIT 3 - ARITMETHIC-LOGIC UNIT JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

COMPUTERS ORGANIZATION 2ND YEAR COMPUTE SCIENCE MANAGEMENT ENGINEERING UNIT 3 - ARITMETHIC-LOGIC UNIT JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ OMUTERS ORGANIZATION 2ND YEAR OMUTE SIENE MANAGEMENT ENGINEERING UNIT 3 - ARITMETHI-LOGI UNIT JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. Arithmetic Logic Unit

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Chapter 9. Counters and Shift Registers. Counters and Shift Registers

Chapter 9. Counters and Shift Registers. Counters and Shift Registers Chapter 9 Counters and Shift Registers Counters and Shift Registers Counter: A Sequential Circuit that counts pulses. Used for Event Counting, Frequency Division, Timing, and Control Operations. Shift

More information

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic.

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic. Acknowledgment DLD Lab Thi et o lide on VHDL are due to Brown and Vraneic. Introduction to VHDL (Very High Speed Integrated Circuit Hardware Decription Language) 2 3 A imple logic unction and correponding

More information

Analysis of clocked sequential networks

Analysis of clocked sequential networks Analysis of clocked sequential networks keywords: Mealy, Moore Consider : a sequential parity checker an 8th bit is added to each group of 7 bits such that the total # of 1 bits is odd for odd parity if

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 10 April 12, 2012 Dohn Bowden 1 Today s Lecture First half of the class Circuits for Arithmetic Operations Chapter 18 Should finish at least

More information

Decoding A Counter. svbitec.wordpress.com 1

Decoding A Counter. svbitec.wordpress.com 1 ecoding A ounter ecoding a counter involves determining which state in the sequence the counter is in. ifferentiate between active-high and active-low decoding. Active-HIGH decoding: output HIGH if the

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO UN IVERSIT Y O F CA LIFO RNI A AT BERKELEY BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO SAN TA BARBA RA S AN TA CRUZ De p a r tm en t of Ele ctr i ca l En gin e e rin g a

More information

EXPERIMENT Bit Binary Sequential Multiplier

EXPERIMENT Bit Binary Sequential Multiplier 12.1 Objectives EXPERIMENT 12 12. -Bit Binary Sequential Multiplier Introduction of large digital system design, i.e. data path and control path. To apply the above concepts to the design of a sequential

More information

Lecture 10: Synchronous Sequential Circuits Design

Lecture 10: Synchronous Sequential Circuits Design Lecture 0: Synchronous Sequential Circuits Design. General Form Input Combinational Flip-flops Combinational Output Circuit Circuit Clock.. Moore type has outputs dependent only on the state, e.g. ripple

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) March 19&21, 2002 John Wawrzynek Spring 2002 EECS150 - Lec13-seq3 version 2 Page 1 Counters Special sequential circuits (FSMs) that

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

FSM model for sequential circuits

FSM model for sequential circuits 1 FSM model for sequential circuits The mathematical model of a sequential circuit is called finite-state machine. FSM is fully characterized by: S Finite set of states ( state ~ contents of FFs) I Finite

More information

Pin Details of Digital Logic Gates:

Pin Details of Digital Logic Gates: (1) (2) Pin Details of Digital Logic Gates: (3) Postulates and Theorems of Boolean algebra: S. No Postulate/Theorem Duality Remarks 1. X + 0 = X X.1 = X - 2. X + X = 1 X.X = 0-3. X + X = X X.X = X - 4.

More information

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference) ECE 3401 Lecture 23 Pipeline Design Control State Register Combinational Control Logic New/ Modified Control Word ISA: Instruction Specifications (for reference) P C P C + 1 I N F I R M [ P C ] E X 0 PC

More information

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary EECS50 - Digital Design Lecture - Shifters & Counters February 24, 2003 John Wawrzynek Spring 2005 EECS50 - Lec-counters Page Register Summary All registers (this semester) based on Flip-flops: q 3 q 2

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

EECS 270 Midterm Exam 2 Fall 2009

EECS 270 Midterm Exam 2 Fall 2009 EECS 270 Midterm Exam 2 Fall 2009 Name: unique name: UMID: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1&2

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS150 - Digital Design Lecture 18 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS150 - Lec18-counters Page 1 Counters Special sequential circuits (FSMs) that sequence though a set outputs.

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS50 - Digital Design Lecture 8 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS50 - Lec8-counters Page Counters Special sequential circuits (FSMs) that sequence though a set outputs. Examples:

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

Digital Electronics Sequential Logic

Digital Electronics Sequential Logic /5/27 igital Electronics Sequential Logic r. I. J. Wassell Sequential Logic The logic circuits discussed previously are known as combinational, in that the output depends only on the condition of the latest

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/41 2012/6/11 extbook: igital esign, 4 th. Edition M. Morris Mano and Michael. iletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSRUOR : HING-LUNG SU E-mail: kevinsu@yuntech.edu.tw hapter 6 P-2/41

More information

M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey

M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey Instructor s Manual for Logic and omputer esign Fundamentals - 3rd Edition - Partial Preliminary Release M. Morris Mano harles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River,

More information

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process Parity Checker Example A string of bits has even parity if the number of 1 s in the string is even. Design a circuit that accepts a bit-serial stream of bits and outputs a 0 if the parity thus far is even

More information

EE 209 Logic Cumulative Exam Name:

EE 209 Logic Cumulative Exam Name: EE 209 Logic Cumulative Exam Name: 1.) Answer the following questions as True or False a.) A 4-to-1 multiplexer requires at least 4 select lines: true / false b.) An 8-to-1 mux and no other logi can be

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline. Review: esigning with FSM EECS 150 - Components and esign Techniques for igital Systems Lec 09 Counters 9-28-0 avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1 Ch 9. Sequential Logic Technologies Technology Contemporary Logic Design Overview Basic Sequential Logic Components FSM Design with Counters FSM Design with Programmable Logic FSM Design with More Sophisticated

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

Written exam with solutions IE1204/5 Digital Design Friday 13/

Written exam with solutions IE1204/5 Digital Design Friday 13/ Written eam with solutions IE204/5 Digital Design Friday / 207 08.00-2.00 General Information Eaminer: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani

More information

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Computers also need devices capable of Storing data and information Performing mathematical operations on such data Sequential Machines Introduction Logic devices examined so far Combinational Output function of input only Output valid as long as input true Change input change output Computers also need devices capable

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum 991215 Skrivtid 4 timmar Tentamen i Beräkningsstrukturer Antal uppgifter: 6 Max poäng: 30 Betygsgränser: >20 poäng 4 >25 poäng 5 Betygsgränser kan

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 6 Sequential Logic ircuits Disclaimer: Most of the contents (if not all) are extracted from resources available for Digital Fundamentals 10 th Edition 2 Basic Shift Register Operations A shift register

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 8 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 ounting in Binary As you know, the binary

More information

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing)

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing) Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing) Look on the back of the title page of the textbook (the copyright page) and you will find a line that reads either 3 4 5 6 7 06

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline. Review: Designing with FSM EECS 150 - Components and Design Techniques for Digital Systems Lec09 Counters 9-28-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Consists of: State register Stores current state Loads next state at clock edge Combinational logic Computes the next state Computes the outputs S S Next State CLK Current State

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

EE141. Lecture 28 Multipliers. Lecture #20. Project Phase 2 Posted. Sign up for one of three project goals today

EE141. Lecture 28 Multipliers. Lecture #20. Project Phase 2 Posted. Sign up for one of three project goals today EE141-pring 2008 igital Integrated ircuits Lecture 28 Multipliers 1 Announcements Project Phase 2 Posted ign up for one of three project goals today Graded Phase 1 and Midterm 2 will be returned next Fr

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

04. What is the Mod number of the counter circuit shown below? Assume initially reset.

04. What is the Mod number of the counter circuit shown below? Assume initially reset. . Which of the following is the state diagram for the Meale machine shown below. 4. What is the Mod number of the counter circuit shown below? Assume initiall reset. input CLK D output D D a. b. / / /

More information

Written exam with solutions IE1204/5 Digital Design Monday 23/

Written exam with solutions IE1204/5 Digital Design Monday 23/ Written exam with solutions IE204/5 Digital Design Monday 23/0 207 4.00-8.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist Exam text has to be returned when you hand in your

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

Chapter #7: Sequential Logic Case Studies Contemporary Logic Design

Chapter #7: Sequential Logic Case Studies Contemporary Logic Design hapter #7: Sequential Logic ase Studies ontemporary Logic Design No. 7- Storage egister Group of storage elements read/written as a unit 4-bit register constructed from 4 D FFs Shared clock and clear lines

More information

Problem Set 6 Solutions

Problem Set 6 Solutions CS/EE 260 Digital Computers: Organization and Logical Design Problem Set 6 Solutions Jon Turner Quiz on 2/21/02 1. The logic diagram at left below shows a 5 bit ripple-carry decrement circuit. Draw a logic

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1 W Combinational circuit Flip-flops Combinational circuit Z cycle: t t t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9 t : : Figure 8.. The general form of a sequential circuit. Figure 8.2. Sequences of input and output

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

Stop Watch (System Controller Approach)

Stop Watch (System Controller Approach) Stop Watch (System Controller Approach) Problem Design a stop watch that can measure times taken for two events Inputs CLK = 6 Hz RESET: Asynchronously reset everything X: comes from push button First

More information

Experiment 4 Decoder Encoder Design using VHDL

Experiment 4 Decoder Encoder Design using VHDL Objective: Experiment 4 Decoder Encoder Design using VHDL To learn how to write VHDL code To Learn how to do functional simulation To do study of the synthesis done by VHDL and the theoretical desin obtained

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller 2-. piral 2- Datapath Components: Counters s Design Example: Crosswalk Controller 2-.2 piral Content Mapping piral Theory Combinational Design equential Design ystem Level Design Implementation and Tools

More information

CSE140: Design of Sequential Logic

CSE140: Design of Sequential Logic CSE4: Design of Sequential Logic Instructor: Mohsen Imani Flip Flops 2 Counter 3 Up counter 4 Up counter 5 FSM with JK-Flip Flop 6 State Table 7 State Table 8 Circuit Minimization 9 Circuit Timing Constraints

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: J. Rose and

More information

COE 202: Digital Logic Design Sequential Circuits Part 4. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 4. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 4 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Registers Counters Registers 0 1 n-1 A register is a group

More information

Digital Electronics Circuits 2017

Digital Electronics Circuits 2017 JSS SCIENCE AND TECHNOLOGY UNIVERSITY Digital Electronics Circuits (EC37L) Lab in-charge: Dr. Shankraiah Course outcomes: After the completion of laboratory the student will be able to, 1. Simplify, design

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 9 Sections 9-1 thru 9-5 2009 Pearson Education, Upper 2008 Pearson Saddle River, Education NJ 07458. All Rights Reserved ET285 Agenda Week 2 Quiz 0: Covered

More information

Design at the Register Transfer Level

Design at the Register Transfer Level Week-7 Design at the Register Transfer Level Algorithmic State Machines Algorithmic State Machine (ASM) q Our design methodologies do not scale well to real-world problems. q 232 - Logic Design / Algorithmic

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information

EECS150 - Digital Design Lecture 16 Counters. Announcements

EECS150 - Digital Design Lecture 16 Counters. Announcements EECS150 - Digital Design Lecture 16 Counters October 20, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150 Fall 2011

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals CHAPTER 8 Counters Slide 2 Counting

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Clocked Sequential Circuits UNIT 13 ANALYSIS OF CLOCKED SEQUENTIAL CIRCUITS. Analysis of Clocked Sequential Circuits. Signal Tracing and Timing Charts

Clocked Sequential Circuits UNIT 13 ANALYSIS OF CLOCKED SEQUENTIAL CIRCUITS. Analysis of Clocked Sequential Circuits. Signal Tracing and Timing Charts ed Sequential Circuits 2 Contents nalysis by signal tracing & timing charts State tables and graphs General models for sequential circuits sequential parity checker Reading Unit 3 asic unit Unit : Latch

More information

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University CAD Computer Aided Design LECTURE 2: Delay models, std_ulogic and with-select-when Instructor: Francis G. Wolff wolff@eecs.cwru.edu Chris Papachristou Case Western Reserve University Review: Full Adder:

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page of COE 22: Digital Logic Design (3--3) Term (Fall 22) Final Exam Sunday January

More information

Sequential Circuit Design

Sequential Circuit Design Sequential Circuit esign esign Procedure. Specification 2. Formulation Obtain a state diagram or state table 3. State Assignment Assign binary codes to the states 4. Flip-Flop Input Equation etermination

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car Review Problem 1 v Describe when the dome/interior light of the car should be on. v DriverDoorOpen = true if lefthand door open v PassDoorOpen = true if righthand door open v LightSwitch = true if light

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

Digital Circuits ECS 371

Digital Circuits ECS 371 Digital Circuits ECS 371 Dr. Prapun Suksompong prapun@siit.tu.ac.th Lecture 18 Office Hours: BKD 3601-7 Monday 9:00-10:30, 1:30-3:30 Tuesday 10:30-11:30 1 Announcement Reading Assignment: Chapter 7: 7-1,

More information

6 Synchronous State Machine Design

6 Synchronous State Machine Design Design of synchronous counters. Based on the description of the problem, determine the required number n of the FFs - the smallest value of n is such that the number of states N 2 n and the desired counting

More information

Digital Logic Design - Chapter 4

Digital Logic Design - Chapter 4 Digital Logic Design - Chapter 4 1. Analyze the latch circuit shown below by obtaining timing diagram for the circuit; include propagation delays. Y This circuit has two external input and one feedback

More information

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Indian Institute of Technology Jodhpur, Year 2017-2018 Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1 Ch 7. Finite State Machines VII - Finite State Machines Contemporary Logic esign 1 Finite State Machines Sequential circuits primitive sequential elements combinational logic Models for representing sequential

More information

Latches. October 13, 2003 Latches 1

Latches. October 13, 2003 Latches 1 Latches The second part of CS231 focuses on sequential circuits, where we add memory to the hardware that we ve already seen. Our schedule will be very similar to before: We first show how primitive memory

More information

EXPERIMENT Traffic Light Controller

EXPERIMENT Traffic Light Controller 11.1 Objectives EXPERIMENT 11 11. Traffic Light Controller Practice on the design of clocked sequential circuits. Applications of sequential circuits. 11.2 Overview In this lab you are going to develop

More information

CHAPTER 2 NUMBER SYSTEMS

CHAPTER 2 NUMBER SYSTEMS CHAPTER 2 NUMBER SYSTEMS The Decimal Number System : We begin our study of the number systems with the familiar decimal number system. The decimal system contains ten unique symbol 0, 1, 2, 3, 4, 5, 6,

More information