Logic Design II (17.342) Spring Lecture Outline

Size: px
Start display at page:

Download "Logic Design II (17.342) Spring Lecture Outline"

Transcription

1 Logic Design II (17.342) Spring 2012 Lecture Outline Class # 10 April 12, 2012 Dohn Bowden 1

2 Today s Lecture First half of the class Circuits for Arithmetic Operations Chapter 18 Should finish at least half the chapter Second half of the class Lab 2

3 Course Admin 3

4 Administrative Admin for tonight Syllabus review Lab #2 is due TONIGHT 4/12 Starting this week The class will be split half lecture half lab time» Lab time to work on your project Lab will be held in BL-407 4

5 Syllabus Review Week Date Topics Chapter Lab Report Due 1 01/26/12 Review of combinational circuits /02/12 Intro to sequential circuits. Latches and flip-flops /09/12 Registers and Counters /16/12 Registers and Counters continued /23/12 Analysis of Clocked Sequential Circuits 13 1 X 03/01/12 Class Cancelled Due to Weather 6 03/08/12 Examination 1 X 03/15/12 NO CLASSES Spring Break 7 03/22/12 Derivation of State Graphs and Tables /29/12 Reduction of State Tables State Assignments /05/12 Sequential Circuit Design /12/12 Circuits for Arithmetic Operations/ Lab for Project /19/12 Examination 2 Take Home 3 Circuits for Arithmetic Operations / State Machine Design with SM Charts / Lab for Project 18/ /26/12 Course Project Build/Troubleshoot in Lab Project /03/12 Final Exam/Course Project Brief & Demo Demo X X 5

6 Course Project Guidelines are on the Class Web Page Any questions??? 6

7 Questions? 7

8 Chapter 18 Circuits for Arithmetic Operations 8

9 Objectives 9

10 Objectives 1. Analyze and explain the operation of various circuits for» Adding binary numbers» Subtracting binary numbers» Multiplying binary numbers» Dividing binary numbers» Similar operations 2. Draw a block diagram and design the control circuit for various circuits listed above 10

11 Overview Using a sequential circuit to control a sequence of operations in a digital system Such a control circuit outputs a sequence of control signals that cause operations such as addition or shifting to take place at the appropriate times 11

12 Serial Adder with Accumulator 12

13 Serial Adder with Accumulator Design a control circuit for a serial adder with an accumulator 13

14 Serial Adder with Accumulator Below is a block diagram for the adder 14

15 Serial Adder with Accumulator Two shift registers are used to hold the 4-bit numbers to be added X and Y 15

16 Serial Adder with Accumulator The X register serves as an accumulator Accumulator processor register for storing intermediate results 16

17 Serial Adder with Accumulator The Y register serves as an addend register Addend a number that is to be added 17

18 Serial Adder with Accumulator When the addition is completed The contents of the X register are replaced with The sum of X and Y 18

19 Serial Adder with Accumulator The addend register is connected as a cyclic shift register so that after shifting four times it is back in its original state The number Y is not lost 19

20 Serial Adder with Accumulator Inputs Sh shift signal SI serial input Clock 20

21 Serial Adder with Accumulator When Sh = 1 and an active clock edge occurs SI is entered into x3 or y3 at the same time as the contents of the register are shifted one place to the right 21

22 Serial Adder with Accumulator The serial adder in blue The full adder is a combinational circuit 22

23 Serial Adder with Accumulator At each clock one pair of bits is added Because the full adder is a combinational circuit the sum and carry appear at the Full Adder output after the propagation delay 23

24 Serial Adder with Accumulator When Sh = 1 the falling clock edge Shifts the sum bit into the accumulator Stores the carry bit in the carry flip-flop Rotates the addend register one place to the right 24

25 Serial Adder with Accumulator Because Sh is connected to CE on the flip-flop The carry is only updated when shifting occurs 25

26 Operation of the Adder 26

27 Serial Adder with Accumulator Operation of the adder 27

28 Serial Adder with Accumulator Shifting occurs on the falling clock edge when Sh = 1 28

29 Serial Adder with Accumulator At t 0 which is the time before the first shift the accumulator contains X and the addend register contains Y Because the full adder is a combinational circuit x 0 y 0... and c 0 are added independently of the clock to form the sum s 0 and carry c 1 29

30 Serial Adder with Accumulator When the first falling clock edge occurs s 0 is shifted into the accumulator The remaining accumulator digits are shifted one position to the right The same clock edge stores c 1 in the carry flip-flop and rotates the addend register right 30

31 Serial Adder with Accumulator The next pair of bits x 1 and y 1 are now at the full adder input and the adder generates the Sum and carry s 1 and c 2 31

32 Serial Adder with Accumulator The second falling edge Shifts s 1 into the accumulator Stores c 2 in the carry flip-flop Cycles the addend register right 32

33 Serial Adder with Accumulator Bits x 2 and y 2 are now at the adder input 33

34 Serial Adder with Accumulator The process continues until all bit pairs have been added 34

35 Example 35

36 Serial Adder with Accumulator Example Initially the accumulator contains 0101 and the addend register contains

37 Serial Adder with Accumulator At t 0 the full adder computes = 10 s i = 0 and c i+ = 1 37

38 Serial Adder with Accumulator At t 1 after the first falling clock edge The first sum bit has been entered into the accumulator 38

39 Serial Adder with Accumulator At t 1 after the first falling clock edge The first sum bit has been entered into the accumulator The carry has been stored in the carry flip-flop 39

40 Serial Adder with Accumulator At t 1 after the first falling clock edge The first sum bit has been entered into the accumulator The carry has been stored in the carry flip-flop The addend has been cycled right 40

41 Serial Adder with Accumulator At t 4 After four falling clock edges The sum of X and Y is in the accumulator and 41

42 Serial Adder with Accumulator At t 4 After four falling clock edges The sum of X and Y is in the accumulator and The addend register is back to its original state 42

43 Adder Control Circuit 43

44 Adder Control Circuit Design the control circuit for the adder After receiving a start signal The control circuit will put out four shift signals and then stop 44

45 Adder Control Circuit The state graph for the control circuit 45

46 Adder Control Circuit The circuit remains in S 0 until a start signal is received at which time the circuit outputs Sh = 1 and goes to S 1 46

47 Adder Control Circuit Then at successive clock times three more shift signals are put out It will be assumed that the start signal is terminated before the circuit returns to state S 0 so that no further output occurs until another start signal is received 47

48 Adder Control Circuit Dashes appear on the graph because once S 1 is reached the circuit operation continues regardless of the value of St 48

49 Adder Control Circuit State table is developed Next State Sh St = S 0 S 0 S S 1 S 2 S S 2 S 3 S S 3 S 0 S

50 Adder Control Circuit Starting with the state table and using a straight binary state assignment the control circuit equations are derived Next State Sh St = S 0 S 0 S S 1 S 2 S S 2 S 3 S S 3 S 0 S AB A + B S S S S

51 Typical Serial Processing Unit 51

52 Typical Serial Processing Unit A serial processing unit Such as a serial adder with an accumulator Processes data one bit at a time 52

53 Typical Serial Processing Unit Shown below is a typical serial processing unit It has two shift registers 53

54 Typical Serial Processing Unit Shown below is a typical serial processing unit It has two shift registers The output bits from the shift register are inputs to a combinational circuit 54

55 Typical Serial Processing Unit Shown below is a typical serial processing unit It has two shift registers The output bits from the shift register are inputs to a combinational circuit The combinational circuit generates at least one output bit 55

56 Typical Serial Processing Unit Shown below is a typical serial processing unit It has two shift registers The output bits from the shift register are inputs to a combinational circuit The combinational circuit generates at least one output bit This output bit is fed into the input of a shift register 56

57 Typical Serial Processing Unit Shown below is a typical serial processing unit It has two shift registers The output bits from the shift register are inputs to a combinational circuit The combinational circuit generates at least one output bit This output bit is fed into the input of a shift register When the active clock edge occurs this bit is stored in the first bit of the shift register at the same time the register bits are shifted to the right 57

58 Typical Serial Processing Unit The control for the serial processing unit generates a series of shift signals 58

59 Typical Serial Processing Unit When the start signal St is 1 the first shift signal.. Sh is generated 59

60 Typical Serial Processing Unit If the shift registers have n bits then a total of n shift signals must be generated 60

61 Typical Serial Processing Unit If St is 1 for only one clock time then the control state graph stops when it returns to state S 0 61

62 Typical Serial Processing Unit If St can remain 1 until after the shifting is completed then a separate stop state is required The control remains in the stop state until St returns to 0 62

63 Design of a Parallel Multiplier 63

64 Design of a Parallel Multiplier Design a parallel multiplier for positive binary numbers Binary multiplication requires only shifting and adding Each partial product is added in as soon as it is formed This eliminates the need for adding more than two binary numbers at a time 64

65 Design of a Parallel Multiplier The multiplication of two 4-bit numbers requires A 4-bit multiplicand register A 4-bit multiplier register 8-bit register for the product The product register serves as an accumulator to accumulate the sum of the partial products Instead of shifting the multiplicand left each time before it is added It is more convenient to shift the product register to the right each time 65

66 Design of a Parallel Multiplier Below shows a block diagram for Parallel Binary Multiplier 66

67 Design of a Parallel Multiplier As indicated by the arrows on the diagram 4 bits from the accumulator and 4 bits from the multiplicand register are connected to the adder inputs 67

68 Design of a Parallel Multiplier The 4 sum bits and the carry output from the adder are connected back to the accumulator 68

69 Design of a Parallel Multiplier The adder calculates the sum of its inputs and When an add signal Ad occurs the adder outputs are stored in the accumulator by the next rising clock edge thus causing the multiplicand to be added to the accumulator 69

70 Design of a Parallel Multiplier An extra bit at the left end of the product register temporarily stores any carry C 4 which is generated when the multiplicand is added to the accumulator 70

71 Design of a Parallel Multiplier Because the lower four bits of the product register are initially unused we will store the multiplier in this location instead of in a separate register As each multiplier bit is used it is shifted out the right end of the register to make room for additional product bits 71

72 Design of a Parallel Multiplier The Load signal Loads the multiplier into the lower four bits of ACC and At the same time clears the upper 5 bits 72

73 Design of a Parallel Multiplier The shift signal Sh causes the Contents of the product register including the multiplier to be shifted one place to the right when the next rising clock edge occurs 73

74 Design of a Parallel Multiplier The control circuit puts out the proper sequence of add and shift signals after a start signal St = 1 has been received 74

75 Design of a Parallel Multiplier The control circuit puts out the proper sequence of add and shift signals after a start signal St = 1 has been received If the current multiplier bit M is 1 the multiplicand is added to the accumulator followed by a right shift 75

76 Design of a Parallel Multiplier The control circuit puts out the proper sequence of add and shift signals after a start signal St = 1 has been received If the multiplier bit is 0 the addition is skipped and only the right shift occurs 76

77 Example 77

78 Parallel Multiplier - Example Example Multiply 13 X 11 78

79 Parallel Multiplier - Example Example Multiply 13 X 11 Dividing line between product and multipler 79

80 Parallel Multiplier - Example Example Multiply 13 X 11 continued 80

81 Parallel Multiplier - Example Example Multiply 13 X 11 continued 81

82 Parallel Multiplier - Example Example Multiply 13 X 11 continued 82

83 Parallel Multiplier - Example Example Multiply 13 X 11 continued 83

84 Parallel Multiplier - Example Example Multiply 13 X 11 continued 84

85 Parallel Multiplier - Example Example Multiply 13 X 11 continued 85

86 Parallel Multiplier - Example Example Multiply 13 X 11 continued 86

87 Multiplier Control Circuit 87

88 Multiplier Control Circuit The control circuit must be designed to output the proper sequence of add and shift signals Below shows a state graph for the control circuit 88

89 Multiplier Control Circuit M/Ad means if M = 1 then the output Ad is 1 and the other outputs are 0 89

90 Multiplier Control Circuit M /Sh means if M' = 1 M = 0 then the output Sh is 1 and the other outputs are 0 90

91 Multiplier Control Circuit S 0 is the reset state and the circuit stays in S 0 until a start signal St = 1 is received 91

92 Multiplier Control Circuit St = 1 generates a Load signal which causes The multiplier to be loaded into the lower 4 bits of the accumulator (ACC) and the Upper 5 bits of ACC to be cleared on the next rising clock edge 92

93 Multiplier Control Circuit In state S 1 the low order bit of the multiplier M is tested If M = 1 An add signal is generated and, then, a shift signal is generated in S 2 93

94 Multiplier Control Circuit In state S 1 the low order bit of the multiplier M is tested If M = 1 If M = 0 in S 1 a shift signal is generated because adding 0 can be omitted 94

95 Multiplier Control Circuit M is tested in the other states to determine whether to generate an add signal followed by shift or just a shift signal 95

96 Multiplier Control Circuit After four shifts have been generated All four multiplier bits have been processed and the control circuit goes to a Done state and terminates the multiplication process 96

97 Multiplier Using a Counter 97

98 Multiplier Using a Counter As the previous state graph indicated the control performs two functions Generating add or shift signals as needed and Counting the number of shifts If the number of bits is large it is convenient to divide the control circuit into a counter and an add-shift control 98

99 Multiplier Using a Counter Below shows a counter and an add-shift control block diagram 99

100 Multiplier Using a Counter We derived a state graph for the add-shift control which tests M and St and outputs the proper sequence of add and shift signals 100

101 Multiplier Using a Counter We added a completion signal K from the counter which stops the multiplier after the proper number of shifts have been completed 101

102 Multiplier Using a Counter The state graph generates the proper sequence of add and shift signals but it has no provision for stopping the multiplier 102

103 Multiplier Using a Counter If the multiplier is n bits a total of n shifts are required Design the counter so that a completion signal K is generated after n - 1 shifts have occurred The last shift signal will reset the counter to 0 at the same time the add-shift control goes to the Done state 103

104 Example 104

105 Multiplier Using a Counter - Example Example Replace the control circuit with the multiplier control circuit. Then again Multiply 13 X

106 Multiplier Using a Counter - Example Example Replace the control circuit with the multiplier control circuit. Then again Multiply 13 X 11. Because n = 4 a 2-bit counter is needed and K = 1 when the counter is in state 3 (11 2 ) 106

107 Parallel Multiplier - Example Same as we previously seen 107

108 Multiplier Using a Counter - Example At time t 0 the control is reset and waiting for a start signal Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

109 Multiplier Using a Counter - Example At time t 1 the start signal St = 1 and a Load signal is generated Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

110 Multiplier Using a Counter - Example At time t 2 M = 1 so an Ad signal is generated When the next clock occurs the output of the adder is loaded into the accumulator and the control goes to S 2 Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

111 Multiplier Using a Counter - Example At t 3 an Sh signal is generated so shifting occurs and the counter is incremented at the next clock Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

112 Multiplier Using a Counter - Example At t 4 M = 1 so Ad= 1 and the adder output is loaded into the accumulator at the next clock Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

113 Multiplier Using a Counter - Example At t 5 and t 6 shifting and counting occurs Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

114 Multiplier Using a Counter - Example At t 7 three shifts have occurred and the counter state is 11 so K = 1 Because M = 1 addition occurs and the control goes to S 2 Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

115 Multiplier Using a Counter - Example At t 8 Sh = K = 1 so at the next clock the final shift occurs and the counter is incremented back to state 00 Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

116 Multiplier Using a Counter - Example At t 9 a Done signal is generated Time State Counter Product St M K Load Ad Sh Done Register t 0 S t 1 S t 2 S t 3 S t 4 S t 5 S t 6 S t 7 S t 8 S t 9 S

117 Lab 183

118 LABS Lab #2 is on the Class Web Page Due TONIGHT April 12 th Lab time work on course projects 184

119 Next Week 185

120 Next Week Topics Finish Chapter 18 Circuits for Arithmetic Operations Pages Start Chapter 19 State Machine Design with SM Charts Pages

121 Home Work 187

122 Homework 1. Read Chapter 18 Circuits for Arithmetic Operations Pages Chapter 19 State Machine Design with SM Charts Pages Chapter 18 Programmed Exercises 3. Work on projects 188

Design at the Register Transfer Level

Design at the Register Transfer Level Week-7 Design at the Register Transfer Level Algorithmic State Machines Algorithmic State Machine (ASM) q Our design methodologies do not scale well to real-world problems. q 232 - Logic Design / Algorithmic

More information

Clocked Sequential Circuits UNIT 13 ANALYSIS OF CLOCKED SEQUENTIAL CIRCUITS. Analysis of Clocked Sequential Circuits. Signal Tracing and Timing Charts

Clocked Sequential Circuits UNIT 13 ANALYSIS OF CLOCKED SEQUENTIAL CIRCUITS. Analysis of Clocked Sequential Circuits. Signal Tracing and Timing Charts ed Sequential Circuits 2 Contents nalysis by signal tracing & timing charts State tables and graphs General models for sequential circuits sequential parity checker Reading Unit 3 asic unit Unit : Latch

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page 1 of 13 COE 202: Digital Logic Design (3-0-3) Term 112 (Spring 2012) Final

More information

Latches. October 13, 2003 Latches 1

Latches. October 13, 2003 Latches 1 Latches The second part of CS231 focuses on sequential circuits, where we add memory to the hardware that we ve already seen. Our schedule will be very similar to before: We first show how primitive memory

More information

LECTURE 28. Analyzing digital computation at a very low level! The Latch Pipelined Datapath Control Signals Concept of State

LECTURE 28. Analyzing digital computation at a very low level! The Latch Pipelined Datapath Control Signals Concept of State Today LECTURE 28 Analyzing digital computation at a very low level! The Latch Pipelined Datapath Control Signals Concept of State Time permitting, RC circuits (where we intentionally put in resistance

More information

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary EECS50 - Digital Design Lecture - Shifters & Counters February 24, 2003 John Wawrzynek Spring 2005 EECS50 - Lec-counters Page Register Summary All registers (this semester) based on Flip-flops: q 3 q 2

More information

FSM model for sequential circuits

FSM model for sequential circuits 1 FSM model for sequential circuits The mathematical model of a sequential circuit is called finite-state machine. FSM is fully characterized by: S Finite set of states ( state ~ contents of FFs) I Finite

More information

15.1 Elimination of Redundant States

15.1 Elimination of Redundant States 15.1 Elimination of Redundant States In Ch. 14 we tried not to have unnecessary states What if we have extra states in the state graph/table? Complete the table then eliminate the redundant states Chapter

More information

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) March 19&21, 2002 John Wawrzynek Spring 2002 EECS150 - Lec13-seq3 version 2 Page 1 Counters Special sequential circuits (FSMs) that

More information

COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University 1 OEN 312 DIGIAL SYSEMS DESIGN - LEURE NOES oncordia University hapter 6: Registers and ounters NOE: For more examples and detailed description of the material in the lecture notes, please refer to the

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines Reminder: midterm on Tue 2/28 will cover Chapters 1-3, App A, B if you understand all slides, assignments,

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines 1 Clocks A microprocessor is composed of many different circuits that are operating simultaneously if each

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS150 - Digital Design Lecture 18 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS150 - Lec18-counters Page 1 Counters Special sequential circuits (FSMs) that sequence though a set outputs.

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS50 - Digital Design Lecture 8 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS50 - Lec8-counters Page Counters Special sequential circuits (FSMs) that sequence though a set outputs. Examples:

More information

7 Multipliers and their VHDL representation

7 Multipliers and their VHDL representation 7 Multipliers and their VHDL representation 7.1 Introduction to arithmetic algorithms If a is a number, then a vector of digits A n 1:0 = [a n 1... a 1 a 0 ] is a numeral representing the number in the

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Computers also need devices capable of Storing data and information Performing mathematical operations on such data Sequential Machines Introduction Logic devices examined so far Combinational Output function of input only Output valid as long as input true Change input change output Computers also need devices capable

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline. Review: Designing with FSM EECS 150 - Components and Design Techniques for Digital Systems Lec09 Counters 9-28-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Lecture 10: Synchronous Sequential Circuits Design

Lecture 10: Synchronous Sequential Circuits Design Lecture 0: Synchronous Sequential Circuits Design. General Form Input Combinational Flip-flops Combinational Output Circuit Circuit Clock.. Moore type has outputs dependent only on the state, e.g. ripple

More information

Analysis of clocked sequential networks

Analysis of clocked sequential networks Analysis of clocked sequential networks keywords: Mealy, Moore Consider : a sequential parity checker an 8th bit is added to each group of 7 bits such that the total # of 1 bits is odd for odd parity if

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline. Review: esigning with FSM EECS 150 - Components and esign Techniques for igital Systems Lec 09 Counters 9-28-0 avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Adders allow computers to add numbers 2-bit ripple-carry adder

Adders allow computers to add numbers 2-bit ripple-carry adder Lecture 12 Logistics HW was due yesterday HW5 was out yesterday (due next Wednesday) Feedback: thank you! Things to work on: ig picture, ook chapters, Exam comments Last lecture dders Today Clarification

More information

Unit 12 Problem Solutions

Unit 12 Problem Solutions Unit 2 Problem Solutions 2. onsider 3 Y = Y Y Y, that is, we need to add Y to itself 3 times. First, clear the accumulator before the first rising clock edge so that the -register is. Let the d pulse be

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

EXPERIMENT Bit Binary Sequential Multiplier

EXPERIMENT Bit Binary Sequential Multiplier 12.1 Objectives EXPERIMENT 12 12. -Bit Binary Sequential Multiplier Introduction of large digital system design, i.e. data path and control path. To apply the above concepts to the design of a sequential

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/55 2012/6/11 Textbook: Digital Design, 4 th. Edition M. Morris Mano and Michael D. Ciletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13 Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13 Ziad Matni Dept. of Computer Science, UCSB Administrative Re: Midterm Exam #2 Graded! 5/22/18

More information

CS61C : Machine Structures

CS61C : Machine Structures CS 61C L15 Blocks (1) inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks Outline CL Blocks Latches & Flip Flops A Closer Look 2005-07-14 Andy Carle CS

More information

ECE 341. Lecture # 3

ECE 341. Lecture # 3 ECE 341 Lecture # 3 Instructor: Zeshan Chishti zeshan@ece.pdx.edu October 7, 2013 Portland State University Lecture Topics Counters Finite State Machines Decoders Multiplexers Reference: Appendix A of

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

Chapter 7. Sequential Circuits Registers, Counters, RAM

Chapter 7. Sequential Circuits Registers, Counters, RAM Chapter 7. Sequential Circuits Registers, Counters, RAM Register - a group of binary storage elements suitable for holding binary info A group of FFs constitutes a register Commonly used as temporary storage

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks 2005-07-14 CS 61C L15 Blocks (1) Andy Carle Outline CL Blocks Latches & Flip Flops A Closer Look CS

More information

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control Logic and Computer Design Fundamentals Chapter 8 Sequencing and Control Datapath and Control Datapath - performs data transfer and processing operations Control Unit - Determines enabling and sequencing

More information

EECS150 - Digital Design Lecture 25 Shifters and Counters. Recap

EECS150 - Digital Design Lecture 25 Shifters and Counters. Recap EECS150 - Digital Design Lecture 25 Shifters and Counters Nov. 21, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

EECS150 - Digital Design Lecture 16 Counters. Announcements

EECS150 - Digital Design Lecture 16 Counters. Announcements EECS150 - Digital Design Lecture 16 Counters October 20, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150 Fall 2011

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 3 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Important Design Concepts State Reduction and Assignment Design

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

Carry Look Ahead Adders

Carry Look Ahead Adders Carry Look Ahead Adders Lesson Objectives: The objectives of this lesson are to learn about: 1. Carry Look Ahead Adder circuit. 2. Binary Parallel Adder/Subtractor circuit. 3. BCD adder circuit. 4. Binary

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 9

ELE2120 Digital Circuits and Systems. Tutorial Note 9 ELE2120 Digital Circuits and Systems Tutorial Note 9 Outline 1. Exercise(1) Sequential Circuit Analysis 2. Exercise (2) Sequential Circuit Analysis 3. Exercise (3) Sequential Circuit Analysis 4. Ref. Construction

More information

Counters. We ll look at different kinds of counters and discuss how to build them

Counters. We ll look at different kinds of counters and discuss how to build them Counters We ll look at different kinds of counters and discuss how to build them These are not only examples of sequential analysis and design, but also real devices used in larger circuits 1 Introducing

More information

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1 Memory Elements I CS31 Pascal Van Hentenryck CS031 Lecture 6 Page 1 Memory Elements (I) Combinational devices are good for computing Boolean functions pocket calculator Computers also need to remember

More information

COE 202: Digital Logic Design Sequential Circuits Part 4. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 4. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 4 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Registers Counters Registers 0 1 n-1 A register is a group

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Following the slides of Dr. Ahmed H. Madian Lecture 10 محرم 1439 ه Winter

More information

Unit 7 Sequential Circuits (Flip Flop, Registers)

Unit 7 Sequential Circuits (Flip Flop, Registers) College of Computer and Information Sciences Department of Computer Science CSC 220: Computer Organization Unit 7 Sequential Circuits (Flip Flop, Registers) 2 SR Flip-Flop The SR flip-flop, also known

More information

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 3 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives State Reduction and Assignment Design of Synchronous Sequential

More information

Digital Circuits and Systems

Digital Circuits and Systems EE201: Digital Circuits and Systems 4 Sequential Circuits page 1 of 11 EE201: Digital Circuits and Systems Section 4 Sequential Circuits 4.1 Overview of Sequential Circuits: Definition The circuit whose

More information

Lecture 17: Designing Sequential Systems Using Flip Flops

Lecture 17: Designing Sequential Systems Using Flip Flops EE210: Switching Systems Lecture 17: Designing Sequential Systems Using Flip Flops Prof. YingLi Tian April 11, 2019 Department of Electrical Engineering The City College of New York The City University

More information

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 CDA 3200 Digital Systems Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 Outline Registers and Register Transfers Shift Registers Design of Binary Counters Counters for Other Sequences

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Lecture 3 Review on Digital Logic (Part 2)

Lecture 3 Review on Digital Logic (Part 2) Lecture 3 Review on Digital Logic (Part 2) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ircuit Optimization Simplest implementation ost criterion literal

More information

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS: EKURHULENI TECH COLLEGE. No. 3 Mogale Square, Krugersdorp. Website: www. ekurhulenitech.co.za Email: info@ekurhulenitech.co.za TEL: 011 040 7343 CELL: 073 770 3028/060 715 4529 PAST EXAM PAPER & MEMO N3

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

State and Finite State Machines

State and Finite State Machines State and Finite State Machines See P&H Appendix C.7. C.8, C.10, C.11 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register

More information

or 0101 Machine

or 0101 Machine Synchronous State Graph or Synchronous State Graph or Detector Design a state graph for a machine with: One input X, one output Z. Z= after receiving the complete sequence or Overlapped sequences are detected.

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Simple Processor CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Digital

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

CSE370 HW6 Solutions (Winter 2010)

CSE370 HW6 Solutions (Winter 2010) SE370 HW6 Solutions (Winter 2010) 1. L2e, 6.10 For this problem we are given a blank waveform with clock and input and asked to draw out the how different flip-flops and latches would behave. LK a) b)

More information

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid CSE140: Components and Design Techniques for Digital Systems Midterm Information Instructor: Mohsen Imani Midterm Topics In general: everything that was covered in homework 1 and 2 and related lectures,

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 6 Sequential Logic ircuits Disclaimer: Most of the contents (if not all) are extracted from resources available for Digital Fundamentals 10 th Edition 2 Basic Shift Register Operations A shift register

More information

Sequential Circuit Analysis

Sequential Circuit Analysis Sequential Circuit Analysis Last time we started talking about latches and flip-flops, which are basic one-bit memory units. Today we ll talk about sequential circuit analysis and design. First, we ll

More information

CSCI 2150 Intro to State Machines

CSCI 2150 Intro to State Machines CSCI 2150 Intro to State Machines Topic: Now that we've created flip-flops, let's make stuff with them Reading: igital Fundamentals sections 6.11 and 9.4 (ignore the JK flip-flop stuff) States Up until

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

Lecture 13: Sequential Circuits

Lecture 13: Sequential Circuits Lecture 13: Sequential Circuits Today s topics: Carry-lookahead adder Clocks and sequential circuits Finite state machines Reminder: Assignment 5 due on Thursday 10/12, mid-term exam Tuesday 10/24 1 Speed

More information

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Lecture 5 Registers & Counters Part 2 Charles Kime Counters Counters are sequential circuits

More information

PSEUDORANDOM BINARY SEQUENCES GENERATOR

PSEUDORANDOM BINARY SEQUENCES GENERATOR PSEUDORANDOM BINARY SEQUENCES GENERATOR 1. Theoretical considerations White noise is defined as a random process with power spectral density that is constant in an infinite frequency band. Quasi-white

More information

Synchronous Sequential Circuit

Synchronous Sequential Circuit Synchronous Sequential Circuit The change of internal state occurs in response to the synchronized clock pulses. Data are read during the clock pulse (e.g. rising-edge triggered) It is supposed to wait

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page of COE 22: Digital Logic Design (3--3) Term (Fall 22) Final Exam Sunday January

More information

Discrete Mathematics. CS204: Spring, Jong C. Park Computer Science Department KAIST

Discrete Mathematics. CS204: Spring, Jong C. Park Computer Science Department KAIST Discrete Mathematics CS204: Spring, 2008 Jong C. Park Computer Science Department KAIST Today s Topics Sequential Circuits and Finite-State Machines Finite-State Automata Languages and Grammars Nondeterministic

More information

(Boolean Algebra, combinational circuits) (Binary Codes and -arithmetics)

(Boolean Algebra, combinational circuits) (Binary Codes and -arithmetics) Task 1. Exercises: Logical Design of Digital Systems Seite: 1 Self Study (Boolean Algebra, combinational circuits) 1.1 Minimize the function f 1 a ab ab by the help of Boolean algebra and give an implementation

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science Sequential Logic Rab Nawaz Khan Jadoon DCS COMSATS Institute of Information Technology Lecturer COMSATS Lahore Pakistan Digital Logic and Computer Design Sequential Logic Combinational circuits with memory

More information

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12] Q.1(a) (i) Derive AND gate and OR gate

More information

Sequential Circuits Sequential circuits combinational circuits state gate delay

Sequential Circuits Sequential circuits combinational circuits state gate delay Sequential Circuits Sequential circuits are those with memory, also called feedback. In this, they differ from combinational circuits, which have no memory. The stable output of a combinational circuit

More information

Digital Logic Design - Chapter 4

Digital Logic Design - Chapter 4 Digital Logic Design - Chapter 4 1. Analyze the latch circuit shown below by obtaining timing diagram for the circuit; include propagation delays. Y This circuit has two external input and one feedback

More information

Random Number Generator Digital Design - Demo

Random Number Generator Digital Design - Demo Understanding Digital Design The Digital Electronics 2014 Digital Design - Demo This presentation will Review the oard Game Counter block diagram. Review the circuit design of the sequential logic section

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Decoding A Counter. svbitec.wordpress.com 1

Decoding A Counter. svbitec.wordpress.com 1 ecoding A ounter ecoding a counter involves determining which state in the sequence the counter is in. ifferentiate between active-high and active-low decoding. Active-HIGH decoding: output HIGH if the

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017 UNIVERSITY OF BOLTON TW35 SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER 2-2016/2017 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

Numbers and Arithmetic

Numbers and Arithmetic Numbers and Arithmetic See: P&H Chapter 2.4 2.6, 3.2, C.5 C.6 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register file alu

More information

Digital Electronics Circuits 2017

Digital Electronics Circuits 2017 JSS SCIENCE AND TECHNOLOGY UNIVERSITY Digital Electronics Circuits (EC37L) Lab in-charge: Dr. Shankraiah Course outcomes: After the completion of laboratory the student will be able to, 1. Simplify, design

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Logic Design I (17.341) Fall Lecture Outline

Logic Design I (17.341) Fall Lecture Outline Logic Design I (17.341) Fall 2011 Lecture Outline Class # 06 October 24, 2011 Dohn Bowden 1 Today s Lecture Administrative Main Logic Topic Homework 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

State Machines ELCTEC-131

State Machines ELCTEC-131 State Machines ELCTEC-131 Switch Debouncer A digital circuit that is used to remove the mechanical bounce from a switch contact. When a switch is closed, the contacts bounce from open to closed to cause

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1 Synchronous Sequential Circuit Design Dr. Ehab A. H. AL-Hialy Page Motivation Analysis of a few simple circuits Generalizes to Synchronous Sequential Circuits (SSC) Outputs are Function of State (and Inputs)

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering An FSM with No Inputs Moves from State to State What happens if an FSM has no inputs? ECE 120: Introduction to Computing

More information

Digital Circuits ECS 371

Digital Circuits ECS 371 Digital Circuits ECS 371 Dr. Prapun Suksompong prapun@siit.tu.ac.th Lecture 18 Office Hours: BKD 3601-7 Monday 9:00-10:30, 1:30-3:30 Tuesday 10:30-11:30 1 Announcement Reading Assignment: Chapter 7: 7-1,

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information