M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey

Size: px
Start display at page:

Download "M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey"

Transcription

1 Instructor s Manual for Logic and omputer esign Fundamentals - 3rd Edition - Partial Preliminary Release M. Morris Mano harles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey

2 Inclusion of the name of any product or vendor does not constitute an endorsement by either the authors or Pearson Prentice Hall. ltera and Flex K are trademarks of ltera orporation. GL and PL are trademarks of Lattice Semiconductor orporation. Mentor Graphics, Model Technology, and ModelSim are trademarks of Mentor Graphics orporation. RMUS and RRM are registered trademarks of RMUS, Inc. ilinx and Spartan are registered trademarks of ilinx, Inc. Verilog is a registered trademark of adence esign Systems, Inc. PowerPoint is registered trademark of the Microsoft orporation. 24, 2, 997 Pearson Education, Inc. Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, NJ 7458 ll rights reserved. No part of this book may be reproduced, in any form or by any means, without permission in writing from the publisher. ISN......

3 P RT 2 PROLEM SOLUTIONS NOTES ON SOLUTIONS:. Legal Notice: This publication is protected by United States copyright laws, and is designed exclusively to assist instructors in teaching their courses. It should not be made available to students, or to anyone except the authorized instructor to whom it was provided by the publisher, and should not be sold by anyone under any circumstances. Publication or widespread dissemination (i.e. dissemination of more than extremely limited extracts within the classroom setting) of any part of this material (such as by posting on the World Wide Web) is not authorized, and any such dissemination will violate the United States copyright laws. In consideration of the authors, your colleagues who do not want their students to have access to these materials, and the publisher, please respect these restrictions. 2. ompanion Website Problem Solutions: The solutions to all problems marked with a * are available to students as well as instructors on the ompanion Website. 3. Problem hallenge: The problems marked with a + are designated as more challenging than the typical problems. 4. Text Errata Notations: Text errata are noted at the ning of a problem if those errata affect either the problem or its solution. These notes indicate only errors identified in the first printing of the 3rd Edition and are expected be removed after the first printing. 5. Solutions Errata: Errata for these solutions will be provided on the ompanion Website in the Errata section. 3

4 HPTER -.* * ecimal, inary, Octal and Hexadecimal Numbers from (6) to (3) ec in Oct Hex E F 48K = 48 2 = 49, 52 its 384M = = 42, 653, 84 its 8G = = 8, 589, 934, 592 its 2 its 2 2 = 495 = 6, 777, its 2 24 ( ) 2 = = 77 (.) 2 = = (.) 2 = = = = ( ) 2 6 = = ( ) 2 23 = = ( ) = = ( ) 2 ( ) 2 = = 23 ( 222) 3 = = 23 ( 33) 4 = = 25 ( 4) 5 = = 53 ( 343) 8 = = 227 4

5 Problem Solutions hapter -7. * ecimal inary Octal Hexadecimal F * a) 7562/8 = /8 => 2 945/8 = 8 +/8 => 8/8 = 4 + 6/8 => 6 4/8 = + 6/8 => 6 /8 = /8 =>.45 8 = 3.6 => = 4.8 => = 6.4 => 6.2x8 = 3.2 => 3 ( ) = ( ) 8 b) ( ) = (792.4) 6 c) (75.75) = (.) 2-9.* a) (673.6) 8 = (.) 2 = (.) 6 b) (E7.) 6 = (.) 2 = (774.54) 8 c) (3.2) 4 = (.) 2 = (64.4) 8 -. a) b) c) x x x 5

6 Problem Solutions hapter -. ) () 2 () 2 = () 2 Partial Quotient = () 2 () 2 () 2 = () 2 Partial Remainder = () 2 () 2 () 2 2) () 2 () 2 = () 2 Partial Quotient = () 2 () 2 () 2 < () 2 Partial Remainder = () 2 () 2 3) () 2 () 2 = () 2 Partial Quotient = () 2 () 2 () 2 < () 2 Partial Remainder = () 2 () 2 4) () 2 () 2 = () 2 Partial Quotient = () 2 () 2 () 2 = () 2 () 2 Partial Remainder = () 2 < () 2 lgorithm stops. (Partial Remainder < ivisor) Quotient = Partial Quotients = () 2 Remainder = Final Partial Remainder = () 2-2. a) E F G H I J b) 23/2 = + 3/2 => 3 /2 = 5 + /2 => 5/2 = 5/2 => 5 (23) = (53) 2 c) ( H.G) = = * a) (EE) r = (2699) r r + 4 r = 2699 r r 2685 = y the quadratic equation: r = 5 or 6.27 NSWER: r = 5 b) (365) r = (94) 3 r r + 5 r = 94 3 r r 89 = y the quadratic equation: r = 9 or 7 NSWER: r = 7 6

7 Problem Solutions hapter -4. Noting the order of operations, first add (35) r and (24) r ( 35) r = 3 r + 5 r ( 24) r = 2 r + 4 r ( 35) r + ( 24) r = 5 r + 9 r Now, multiply the result by (2) r ( 2 r + r ) ( 5 r + 9 r ) = r r + 9 Next, set the result equal to (5) r and reorganize. r r + 9 = r r 2 + r r 3 5 r 2 23 r 8 r = Finally, find the roots of this cubic polynomial. Solutions are: r = 8, , NSWER: The chicken has 4 toes on each foot (half of 8). -5.* (694) = ( ) (835) = ( ) * a) ( ) = (4867) = () 2 b) (. ) = (378.75) = (.) inary Numbers from (6) to (3) with Odd and Even Parity ecimal Odd Even Gray ode for Hexadecimal igits Hex E F Gray 7

8 Problem Solutions hapter -9. The percentage of power consumed by the Gray code counter compared to a binary code counter equals: Number of bit changes using Gray code Number of bit changes using binary code s shown in table -4, and by definition, the number of bit changes per cycle of an n-bit Gray code counter is per count = 2 n. Number of bit changes using Gray code = 2 n For a binary counter, notice that the least significant bit changes on every increment. The second least significant bit changes on every other increment. The third digit changes on every fourth increment of the counter, and so on. s shown in Table -4, the most significant digit changes twice per cycle of the binary counter. Number of bit changes using binary code = 2 n + 2 n n = 2 i 2 i i = n = = ( 2( n + ) ) = 2 n i = % Power = n 2 ( n + ) 2 From Table -4, complementing the 6th bit will switch an uppercase letter to a lower case letter and vice versa. -2. a) The name used is rent M. Ledvina. n alternative answer: use both upper and lower case letters. R E N T (SP) M. (SP) L E V I N b) -22. NSWER: John oe 8

9 Problem Solutions hapter -23.* a) () 2 b) ( ) c) SII -24. a) b) c) 2 32 = 4,294,967,296 Integers 32 its ( igit) ( 4its) = 8igits = 8 =,, Integers 32 its ( igit) ( 8 its) = 4 igits = 4 =, Integers 9

10 Problem Solutions hapter

11 HPTER 2 2-.* a) YZ = + Y + Z Verification of emorgan s Theorem Y Z YZ YZ +Y+Z b) + YZ = ( + Y) ( + Z) The Second istributive Law Y Z YZ +YZ +Y +Z (+Y)(+Z) c) Y + YZ + Z = Y + YZ + Z Y Z Y YZ Z Y+YZ+Z Y YZ Z Y+YZ+Z 2-2.* a) Y + Y + Y = + Y = ( Y + Y) + ( Y + Y) = Y ( + Y) + Y ( + ) = + Y

12 Problem Solutions hapter 2 b) = = ( + ) + ( + ) = ( + ) + ( + ) = + = c) Y + Z + Y = + Y + Z = Y + Y + Z = ( Y + ) ( Y + Y) + Z = Y + + Z = Y + ( + ) ( + Z) = + Y + Z d) Y + YZ + Z + Y + YZ = Y + Z + YZ = Y + YZ( + ) + Z + Y + YZ = Y + YZ + YZ + Z + Y + YZ = Y( + Z) + YZ + Z + Y + YZ = Y + Z( + Y) + Y + YZ = Y + Z + Y( Z + Z) + YZ = Y + Z + YZ + YZ( + ) = Y + Z( + Y) + YZ = Y + Z + YZ a) = + = ( + ) + + +( + ) = + ( + ) + ( + ) + = = = + b) WY + WYZ + WZ + WY = WY + WZ + YZ + YZ = ( WY + WYZ) + ( WYZ + WYZ) + ( WYZ + WYZ) + ( WYZ + WYZ) = ( WY + WYZ) + ( WYZ + WYZ) + ( WYZ + WYZ) + ( WYZ + WYZ) = WY + WZ( Y + Y) + YZ( W + W) + YZ( W + W) = WY + WZ + YZ + YZ c) = ( ) ( ) = = ( + ) ( + ) ( + ) = ( + + ) ( + ) = + = ( ) ( ) 2

13 Problem Solutions hapter Given: =, + = Prove: ( + ) ( + ) ( + ) = = = ( + + ) ( + ) + + = + ( + ) = ( + ) ( ) = ( + ) ( + ) = = ( + + ) Step : efine all elements of the algebra as four bit vectors such as, and : = ( 3, 2,, ) = ( 3, 2,, ) = ( 3, 2,, ) Step 2: efine OR, N and NOT so that they conform to the definitions of N, OR and NOT presented in Table 2-. a) + = is defined such that for all i, i =,...,3, i equals the OR of i and i. b) = is defined such that for all i, i =,...,3, i equals the N of i and i. c) The element is defined such that for =, for all i, i =,...,3, i equals logical. d) The element is defined such that for =, for all i, i =,...,3, i equals logical. e) For any element, is defined such that for all i, i =,...,3, i equals the NOT of i a) b) c) d) e) + + = ( + ) + + = + ( + ) + = + + ( + ) = + + ( + ) ( + ) = ( + ) = + = ( + ) + = + ( + ) = + = ( + ) + ( + ) = + + = ( + ) + + = + ( + ) + = + + = + = ( + ) ( + + ) ( + + ) = ( + ) ( + + ) = + + = * a) b) c) d) Y + YZ + Y = + YZ = ( + Y) ( + Z) = ( + ) ( + Y) ( + Z) = ( + Y) ( + Z) = + YZ + Y( Z + + Z) = + Y( Z + Z) = + Y( Z + ) ( Z + Z) = + YZ + Y = ( + ) ( + Y) + YZ = + Y + YZ = + Y W( Z + YZ) + W ( + WYZ) = WZ + WYZ + W + WYZ = WZ + WZ + W = W + W = ( + ) ( + ) + = = + + = + + ( ) = + + ( ) = + + 3

14 Problem Solutions hapter a) F = + + b) F = + + = ( + + ) + ( + ) +( + ) = ( + + ) ( + ) ( + ) = ( ) ( ) ( ) 2-9.* 2-.* a) b) c) d) F = ( + ) ( + ) F = (( V + W) + Y)Z F = [ W + + ( Y + Z) ( Y + Z) ][ W+ + YZ + YZ] F = + ( + ) + ( + ) Truth Tables a, b, c Y Z a b W Y Z c a) Sum of Minterms: Product of Maxterms: b) Sum of Minterms: Product of Maxterms: c) Sum of Minterms: Product of Maxterms: YZ + YZ + YZ + YZ ( + Y + Z) ( + Y + Z) ( + Y+ Z) ( + Y + Z) ( + + ) ( + + ) ( + + ) ( + + ) WYZ + WYZ + WYZ + WYZ + WYZ + WYZ + WYZ ( W+ + Y+ Z) ( W + + Y + Z) ( W + + Y + Z) ( W+ + Y+ Z) ( W + + Y + Z) ( W + + Y + Z) ( W+ + Y+ Z) ( W + + Y + Z) ( W + + Y + Z) 2-. a) E = Σm( 256,,, ) = ΠM(, 3, 4, 7), F = Σm( 2467,,, ) = ΠM(,, 3, 5) b) E = Σm( 347,,, ), F = Σm( 35,,, ) c) E + F = Σm( 24567,,,,, ), E F = Σm( 2, 6) d) E = YZ + YZ + YZ + YZ, F = YZ + YZ + YZ + YZ 4

15 Problem Solutions hapter 2 e) E = Z( + Y) + YZ, F = Y( Z + ) + Z 2-2.* a) ( + ) ( + ) = + + = + s.o.p. b) c) = ( + ) p.o.s. + ( + Y) ( Y + Z) = ( + ) ( + ( + Y) ( Y + Z) ) = ( + + Y) ( + Y + Z) p.o.s. = ( + Y) ( + Y + Z) = + Y + Z s.o.p. ( + + ) ( + EF) = ( + + ) ( + + ) ( + + ) ( + EF) = ( + + ) ( + + ) ( + + ) ( + E) ( + F) s.o.p. p.o.s. ( + + ) ( + EF) = ( + EF) + ( + EF) + ( + EF) = + EF + EF + + EF 2-3. a) b) c) W Y W Z Y Z Z Y W Y Y Z W Z W * a) Y b) Y c) d) Z Z Z + Y YZ + Z + Y + + +( or ) a) Y b) c) Z Z + Y + + 5

16 Problem Solutions hapter a) b) c) Y W Z + + YZ + Z + YZ + ( WZ or WY) a) Y b) W Z F = WY + WZ + YZ + WZ F = ( or ) 2-8. * a) b) c) Y Y W Z Z Σm( 3567,,, ) Σm( ,,,,,,, ) Σm(, 2, 6, 7, 8,, 3, 5) 2-9.* a) Prime= ZWZWZ,,, b) Prime =,,,, c) Prime =,,,,, 2-2. Essential = Z, Z Essential =,, Essential =,, a) Prime= YWYYZWZWYYZWZ,,,,,, b) Prime =,,,, Essential = Y Essential =,,, F = Y + ( W Y + YZ + WZ or YZ + WY + WZ) F = c) Prime= ZYYZYZZY,,,,, Essential = none F = ( Z + Y + YZ) or YZ + Z + Y 6

17 Problem Solutions hapter a) F Y b) F W Z 2-22.* a) s.o.p. + + b) s.o.p. + + c) s.o.p. + + ( or ) F = Σm( ,,,,,,, ) F = Σm(, 2, 4, 5, 8,,, 2, 3, 4) F = YZ + WZ + WY F = F = ( Y + Z) ( W + + Z) ( W + + Y) F = ( + ) ( + ) ( + ) ( + + ) p.o.s. ( + ) ( + ) ( + + ) p.o.s. ( + ) ( + ) ( + + ) p.o.s. ( + ) ( + ) ( + + ) a) s.o.p b) s.o.p. Y + WZ + YZ + Z There are several others. or p.o.s. or p.o.s. ( W+ + Y+ Z) ( W+ + Y + Z) ( W + + Y + Z) ( + + ) ( + + ) ( + + ) ( + + ) ( + + ) ( + + ) ( + + ) ( + + ) a) b) Y c) F = + + W Z F = WY + ( YZ + YZ) or (Z + Z) F = 2-25.* a) b) Y c) W Z Primes =,,, Primes = Z, Z, WY, WY, WYZ, WYZ Primes =,,, Essential =,, Essential = Z Essential =, F = + + F = Z + WY + WY F = + + ( or ) 7

18 Problem Solutions hapter a)() F = + ( or ) a)(2) F = + ( or ) F = ( + ) (( + ) or ( + ) b)() Y b)(2) Y W W Z Z F = W Y + W Y Z + W Y F = W Y + W Y + W Z + (W Y or W Y Z or Y Z) + W Y + (W Y or W Y Z) F = (W + + Y)(W + + Y)(W + Z)(W + + Y) ((W + + Y) or (W + Y + Z)) a) F = = 2 = F = = ( + 2 )( + ) 3 = + F = ( + 2 ) F b) F = WY + Y + WZ + WZ Y = ( W + )Y + ( W + W)Z = ( W + )Y + ( W+ ) ( W+ )Z = W+ F = Y + ( W+ )Z W Z F a) F b) G F = + + G = + + = + ( + ) = + ( + ) + = 2 = + = + ( + ) F = + 2 G = + 2 8

19 Problem Solutions hapter 2 G F a) F = ( + ) + ( + ) + ( + ) b) T = YZ( W+ ) + YZ( WY + ) = ( + ) ( + ) + ( + ) + ( ( + ) ) = WYZ + YZ + YZ = * Y = Y + Y ual ( Y ) = ual ( Y + Y) = = = ( + Y) ( + Y) Y Y + Y + Y = Y = + ( ) Note that + Y = ( Y) + Y Letting = and Y =, We can observe from the map below or determine algebraically that Y is equal to. For this situation, + Y = ( Y) + Y = ( Y) + = Y So, we can write F (,,, ) = Y = ( ) 9

20 Problem Solutions hapter 2 F a) Y Z H = Y + Z b) Y F = Y + Y a) F = + + b) There are no three-state output conflicts. Necessary to make F = for = = ; otherwise F would be Hi-Z for this combination. 2

21 Problem Solutions hapter (Errata: problem 2-32 should be problem 2-33 ) TG TG TG TG TG F = + + TG TG TG TG 2-35.(Errata: problem 2-33 should be problem 2-34 ) a) For the solution given in Problem 2-34, the output of F is in the Hi-Z state when = and =. b) TG TG TG TG TG F = + + TG TG TG TG TG TG TG 2

22 P RT 2 PROLEM SOLUTIONS NOTES ON SOLUTIONS:. Legal Notice: This publication is protected by United States copyright laws, and is designed exclusively to assist instructors in teaching their courses. It should not be made available to students, or to anyone except the authorized instructor to whom it was provided by the publisher, and should not be sold by anyone under any circumstances. Publication or widespread dissemination (i.e. dissemination of more than extremely limited extracts within the classroom setting) of any part of this material (such as by posting on the World Wide Web) is not authorized, and any such dissemination will violate the United States copyright laws. In consideration of the authors, your colleagues who do not want their students to have access to these materials, and the publisher, please respect these restrictions. 2. ompanion Website Problem Solutions: The solutions to all problems marked with a * are available to students as well as instructors on the ompanion Website. 3. Problem hallenge: The problems marked with a + are designated as more challenging than the typical problems. 4. Text Errata Notations: Text errata are noted at the ning of a problem if those errata affect either the problem or its solution. These notes indicate only errors identified in the first printing of the 3rd Edition and are expected be removed after the first printing. 5. Solutions Errata: Errata for these solutions will be provided on the ompanion Website in the Errata section.

23 HPTER Pearson Education, Inc. Y Z W=Z + YZ Hierarchy Y W Z Hierarchy Y W Z F = (E + E) + E Hierarchy Y W Z Hierarchy Y W Z G = (E + E) Hierarchy Y H Z + Hierarchy Y H Z G = ( + ) + ( + ) = Hierarchy + Y H Z inputs 6 inputs 6 inputs 3-4.* The longest path is from input or..78 ns +.78 ns +.52 ns +.78 ns =.286 ns 2

24 Problem Solutions hapter a) b) c) a) b) Input elay elay.6ns.6ns.6ns.6ns.2ns.2ns.8ns.8ns.8ns.8ns.8ns.8ns c) The values are identical in all cases If the rejection time for inertial delays is greater than the propagation delay, then an output change can occur before it can be predicted whether or not it is to occur due to the rejection time. For example, with a delay of 2 ns and a rejection time of 3 ns, For a 2.5 ns pulse, the initial edge will have already appeared at the output before the 3 ns has elapsed at which whether to reject or not is to be determined. 3

25 Problem Solutions hapter a) The propagation delay is t pd = ( t PHL + t PLH )/2 = (.5 +.)/2 =.75 ns For a positive output pulse, the following actually occurs:.5 ns. ns If the input pulse is narrower than.5 ns, no output pulse occurs so the rejection time is.5 ns. The model projects:.75 ns b) For a negative output pulse, the following actually occurs if the input pulse is narrower than the rejection time: The model projects:. ns.5 ns For the negative pulse, the rejection time should be.75ns (it can t be larger than the propagation delay) to represent that fact that the pulse is not narrower in the output than in the input. The parameters provide a poor model in this situation. 3-9.* P-Logic N-Logic Y NN NOR Y NN NOR Y NN NOR L L H H L H H L H L H L H H L L 3-. Y F = Z + Y + YZ This is the same function as the carry for the full adder. Z 4

26 Problem Solutions hapter 3 3-.* F = W= + = + + Y = ( + ) + ( + ) Z = a) 2 3 Z Z = 2 3 b) There are 2 different functions of Z: Z = 2 3 and Z = GNS YNS RNS GEW YEW REW GNS = + YNS = YNS RNS = + GNS RNS GEW = + YEW = YEW REW = + GEW REW 5

27 Problem Solutions hapter S5 S4 S3 S2 S S S = S = S2 = + S3 = + S4 = + S5 = S2 S S S = S = S2 = W Y Z to W = + + = + Y = Z = 6

28 Problem Solutions hapter a) PS LS RS RR PL LL RL PL = PS LL = PS LS RS + PS LS RR RL = PS LS RS + PS RS RR b) PS PL LS LL RS RR RL 7

29 3-9. a) Problem Solutions hapter 3 a b c d a e f g b) a = b = c = + + d = e = + f = g = c) The following gate input counts include input inverters and share N gates. Total gate inputs for this solutions = 67. Total gate inputs for book solution is 7. This solution is better by 3 gate inputs a) b) c) Part b requires 6 fewer gates. E F G H E F G H 8

30 Problem Solutions hapter E F This design requires an inverter, 2NN, 4NOR, and 2NOR for a total normalized area of = This design requires two inverters, a 2NN, and four 2NOR for a total normalized area of 2 * *.25 = Y T T2 T3 F T = Y T2 = Y T3 = Y F = Y + Y 3-24.*(Errata: Replace equations with F = W and G = W Y + WZ. See Fig. 4- for decoder diagram/table.) F = U U 2U 3U = U + U + 2U + 3U = WY ( + Y+ Y+ Y) = W G = U 2U L 2L = U + 2U + L + 3L = WY ( + Y) + WZ ( + Z) = WY + WZ (Errata: See Fig. 4- for decoder diagram/table.) Upper Lower W Y Z F G 9

31 Problem Solutions hapter G G2 G2 E = G G2 G2 E Y = E Y = E Y2 = E Y3 = E Y4 = E Y5 = E Y6 = E Except for G = and G2 and G2 =, the outputs Y through Y7 are all s. Otherwise, one of Y through Y7 is equal to with all others equal to. The output that is equal to has index i = decimal value of the values of (,,) in binary. E.g., if (,,) = (,,), then Y6 =. Y7 = E G G2_n G2_n Y [] [] [2] [3] [4] [5] [6] [7] W Y Z

32 Problem Solutions hapter 3

33 HPTER 4 24 Pearson Education, Inc. 4-.* a) b) V F 7 F 6 F 5 F 4 F 3 F 2 F F F 7 F 6 F 5 F 4 F 3 F 2 F F 4-2. a) b) V V F 7 F 6 F 5 F 4 F G 7 G 6 G 5 G 4 F 3 F 2 G 3 G 2 F G F G 4-3. a) b) F 2 :8 3: 4 4 7:4 8 3: G F(3:) G(3:) 4 4 7:4 8 3: H 4-4. = ( S S S 2 S 3 S 4 S 5 ) + M S 4 S 3 L = S 2 S V = = ( S S S 2 S 3 S 4 S 5 ) + M S = V M S 5 L V 2

34 Problem Solutions hapter EOER EOER EN EOER 2 EN 3 EOER 2 En 3 EOER 2 En EOER 2 En EOER 2 En

35 Problem Solutions hapter *(Errata: four should be two and 48 should be 32 ) EOER EOER EOER EOER

36 Problem Solutions hapter EN 7 4-.* 3 2 V V = = ( + 2 ) = ( should be decimal ) 9 is the highest priority. ecimal Inputs inary Outputs V 5

37 4-2. I I I 2 I 3 I 4 I 5 I 6 I 7 Problem Solutions hapter 4 a) b) S S S 2 EOER Y S S I I I 2 2 2x MU I 3 3 S 2 S Y 4x MU S S S S I 4 Y 2 3 I 5 I 6 I 7 4x MU S S Y Y 4-3. EOER Y

38 Problem Solutions hapter S S S 2 EOER I I I 2 I 3 Y I 4 I 5 I 6 I 7 I I I 2 I 3 Y I 4 I 5 I 6 I S S EOER 2 3 I I I 2 Y I 3 I I I 2 Y I 3 7

39 Problem Solutions hapter S 2 S S I I I 2 I 3 I 4 Y I 5 I 6 I S 3 EOER I S S S 2 EOER I I 2 I 3 I 4 I 5 Y I 6 I 7 I 8 I (2:) (7:) 8x MU (7:) Y S (2:) (7:) 8x MU (7:) Y S (2:) 2(7:) 8x MU (7:) Y 3 3(7:) (8) (8) 2(8) 3(8) S (2:) 8x MU (7:) Y S (2:) 2xx4 MU S,,,2,3,,,2,3 Y Y Y 2 Y 3 8

40 Problem Solutions hapter * (7:) (2:) 8x MU (7:) Y S (2:) (4:8) (3) 8x MU (6:) Y (7) S (2:) 3 OR gates 4-2. E 2 3 onsider E as the data input and, as the select lines. For a given combination on (, ), the value of E is distributed to the corresponding output. For example for (, ) = (), the value of E appears on 2, while all other outputs have value Y Z EOER F F 2 F EOER F F 2 7 F Y in S S = in = S = in = in S = in = in S = in = in V Y 4 x 2 MU S S () () Y (2) (3) () () Y (2) (3) S 9

41 Problem Solutions hapter F F = F = F = F = F = F = F = F = V 8 x MU S S S Y F 4-25.* F F= F= F= F= V 4 x MU S S Y 2 3 F EOER EN 7 EOER EN 7 F 2

42 Problem Solutions hapter (7:) x 8 ROM ddress 8 (5:8) x 8 ROM ddress 8 EOER EN 256 x 8 ROM ddress 8 8 EN 256 x 8 ROM ddress 8 EN EN x 8 ROM ddress x 8 ROM ddress 8 EN EN x 8 ROM ddress 256 x 8 ROM ddress EN EN (7:) (5:8) 4-28.* IN OUT IN OUT IN OUT IN OUT a) = 8 address bits and 8 + = 9 output bits, 256K 9 b) 64K 6 c) To represent maximum input 9999, 4 output bits are needed, 64K Input Output Y Z 2

43 Problem Solutions hapter PTERMS INPUTS OUTPUTS Y Z Y Y 2 Y Z 3 Y Z 4 Y Z * PTERMS INPUTS OUTPUTS Y Z E F Y 2 Y Z 3 Y 4 Z PTERM INPUTS OUTPUTS W Y Z * ssume 3-input OR gates. PTERM INPUTS

44 4-35. ssume 3-input OR gates. Problem Solutions hapter 4 PTERM INPUTS Y Z YZ YZ 2 Z 3 - Y 4 YZ 5 Y 6 7 Y 8 9 Z Y entity decoder_2_to_4 is port(en: in std_logic; : in std_logic_vector( to ); : out std_logic_vector( to 3)); end decoder_2_to_4;... signal not_: std_logic_vector( to ); g: NOT port map ((), not_()); g: NOT port map ((), not_()); g2: NN3 port map (not_(), not_(), EN, ()); g3: NN3 port map ((), not_(), EN, ()); g4: NN3 port map (not_(), (), EN, (2)); g5: NN3 port map ((), (), E, (3)); 23

45 Problem Solutions hapter * N N N3 N5 N4 N6 f Figure 4-4: Structural VHL escription library ieee; use ieee.std_logic_64.all; entity nand2 is port(in, in2: in std_logic; out : out std_logic); end nand2; architecture concurrent of nand2 is out <= not (in and in2); end architecture; library ieee; use ieee.std_logic_64.all; entity nand3 is port(in, in2, in3 : in std_logic; out : out std_logic); end nand3; architecture concurrent of nand3 is out <= not (in and in2 and in3); end concurrent; library ieee; use ieee.std_logic_64.all; entity nand4 is port(in, in2, in3, in4: in std_logic; out : out std_logic); end nand4; -- The code above this point could be eliminated by using the library, func_prims. library ieee; use ieee.std_logic_64.all; entity fig44 is port(: in std_logic_vector( to 2); f: out std_logic); end fig44; architecture structural_2 of fig44 is component NN2 port(in, in2: in std_logic; out: out std_logic); end component; 24

46 Problem Solutions hapter 4 component NN3 port(in, in2, in3: in std_logic; out: out std_logic); end component; signal T: std_logic_vector( to 4); g: NN2 port map ((),(),T()); g: NN2 port map ((),T(),T()); g2: NN2 port map ((),T(),T(2)); g3: NN3 port map ((2),T(),T(2),T(3)); g4: NN2 port map ((2),T(2),T(4)); g5: NN2 port map (T(3),T(4),f); end structural_2; F = g: NOT_ port map (, x); g: N_2 port map (,, x2); g2: NOR_2 port map (, x, x3); g3: NN_2 port map (x, x3, x4); g4: OR_2 port map (x, x2, x5); g5: N_2 port map (x4, x5, ); g6: N_2 port map (x3, x5, Y); end structural_; = + Y = F G 25

47 Problem Solutions hapter * F <= ( and Z) or ((not Y) and Z); end; library IEEE; use IEEE.std_logic_64.all; entity priority_4 is port ( : in ST_LOGI_VETOR (3 downto ); : out ST_ULOGI_VETOR ( downto ); V: out ST_LOGI ); end priority_4; architecture priority_4_arch of priority_4 is V <= '' when ="" else ''; <= "" when (3) = '' else "" when (2) = '' else "" when () = '' else "" when () = '' else ""; end priority_4_arch; _ g _ ; ntity multiplexer_8_to_ is port(s: in std_logic_vector(2 downto ); : in std_logic_vector(7 downto ); Y: out std_logic); nd multiplexer_8_to_; chitecture function_table of multiplexer_8_to_ is gnal not_s: std_logic_vector( to ); gnal N: std_logic_vector( to 3); egin with S select Y <= () when "" () when "" (2) when "" (3) when "" (4) when "" (5) when "" (6) when "" (7) when "" ''; nd function_table; 26

48 4-46.* Problem Solutions hapter module decoder_2_to_4_st(, EN, ); input [:] ; input EN; output [3:] ; wire [:] not_; not go(not_[], []), g(not_[], []); nand g2([], not_[], not_[], EN), g3([],[], not_[], EN), g4([2], not_[], [], EN), g5([3], [],[], EN); endmodule end structural_; * N N N3 N5 N4 N6 f 27

49 Problem Solutions hapter module circuit_4_5(,,,,, Y); input,,, ; output, Y; wire n, n2, n3, n4, n5; not go(n, ); nand g(n4, n, n3); and g2(n2,, ), g3(, n4, n5), g4(y, n3, n5); or nor g5(n5, n, n2); g6(n3, n, ); endmodule 4-5. module circuit_4_5(, F); input [2:] ; output F; wire [:4] T; nand g(t[],[],[]), g(t[],[],t[]), g2(t[2],[],t[]), g3(t[3],[2],t[],t[2]), g4(t[4],[2],t[2]), g5(f,t[3],t[4]); endmodule 28

50 Problem Solutions hapter F G 4-53.* module circuit_4_53(, Y, Z, F); input, Y, Z; output F; assign F = ( & Z) (Z & ~Y); endmodule module multiplexer_8_to cf_v(s,, Y); input [2:] S; input [7:] ; output Y; assign Y = (S == 3'b)? [] : (S == 3'b)? [] : (S == 3'b)? [2] : (S == 3'b)? [3] : (S == 3'b)? [4] : (S == 3'b)? [5] : (S == 3'b)? [6] : (S == 3'b)? [7] : 'bx ; endmodule 29

51 Problem Solutions hapter module prioencoder_4_to (,, V); input [3:] ; output [:] ; output V; assign V = [] [] [2] [3]; assign [] = [3]? 'b:([2]? 'b:([]? 'b:([]? 'b:'bx))); assign [] = [3]? 'b:([2]? 'b:([]? 'b:([]? 'b:'bx))); endmodule 3

52 P RT 2 PROLEM SOLUTIONS NOTES ON SOLUTIONS:. Legal Notice: This publication is protected by United States copyright laws, and is designed exclusively to assist instructors in teaching their courses. It should not be made available to students, or to anyone except the authorized instructor to whom it was provided by the publisher, and should not be sold by anyone under any circumstances. Publication or widespread dissemination (i.e. dissemination of more than extremely limited extracts within the classroom setting) of any part of this material (such as by posting on the World Wide Web) is not authorized, and any such dissemination will violate the United States copyright laws. In consideration of the authors, your colleagues who do not want their students to have access to these materials, and the publisher, please respect these restrictions. 2. ompanion Website Problem Solutions: The solutions to all problems marked with a * are available to students as well as instructors on the ompanion Website. 3. Problem hallenge: The problems marked with a + are designated as more challenging than the typical problems. 4. Text Errata Notations: Text errata are noted at the ning of a problem if those errata affect either the problem or its solution. These notes indicate only errors identified in the first printing of the 3rd Edition and are expected be removed after the first printing. 5. Solutions Errata: Errata for these solutions will be provided on the ompanion Website in the Errata section.

53 2

54 HPTER *S = = + + S = = + + * 2 = + + *S = * These are the three equations for the outputs. The logic diagram consists of a sum-of-products implementation of these equations. 5-2.* = T 3 + T 2 = T + T 2 = + + = ( + ) + = ( + )( + ) = + + S = T 4 = T T 2 = ( + ) = ( + )( + ) = + S = T 3 T T 4 T * Unsigned s omplement 2 s omplement 5-4. a) b) c) d) = 3

55 Problem Solutions hapter a) b) c) d) Overflow on omplement Overflow on Subtract 5-6.* +36 = - 24 = - 35 = 36 +( 24) + = 2 = 35 - ( 24) + = = 5-7. a) b) c) d) Overflow a) H = b) G = F = + + E = c) H = it in S out it in out S G = F = ( + ) E = ( + + ) S = it in out = it + in it in H out S it in G out S it in F out S E E F G H 4

56 Problem Solutions hapter S 3 S 2 S S 5-. = = S = S S = = ( S) + ( S) + = S + S S + S S = S = S = S S = 2 = S + S + S S = 2 7 = S its 2-6 use regular full adder/subtractor logic. For bit 7, the carry logic is omitted. S F in S out F in S out F in out F in out F in out F in S S S S S 7 S 6 S 5 S 4 S 3 S 2 S S 5-.+ a) = 2 = 3 = S = = P = = G = = = G + P = = S = = P = = G = = 2 = G + P ( G + P ) = + = + S 2 = 2 2 P 2 = 2 G 2 = 3 = G 2 + P 2 ( G + P ( G + P )) = =

57 Problem Solutions hapter 5 a) S 3 = 3 3 P 3 = 3 G 3 = P 3 = P 3 P 2 P P = 3 2 G 3 = G 3 + P 3 G 2 + P 3 P 2 G + P 3 P 2 P G = P -3 G S 3 S 2 S S b) = = 2 = 3 = S = = P = = G = = = G + P = S = P = G = 2 = G + P ( G + P ) = S 2 = 2 2 P 2 = 2 G 2 = 3 = G 2 + P 2 ( G + P ( G + P )) = 2 S 3 = 3 3 P 3 = 3 G 3 = P 3 = P 3 P 2 P P = 3 2 G 3 = G 3 + P 3 G 2 + P 3 P 2 G + P 3 P 2 P G = 3 2 P -3 G S 3 S 2 S S 6

58 Problem Solutions hapter 5 c) 4 = G 3 + P 3 8 = G P = P 4 7 G 3 + P 4 7 P 3 2 = G 8 + P 8 8 = P 8 P 4 7 G 3 + P 8 P 4 7 P 3 6 = G P = P 2 5 P 8 P 4 7 G 3 + P 2 5 P 8 P 4 7 P 3 P 5 = P 3 P 4 7 P 8 P 2 5 G 5 = G P 2 5 G 8 + P 2 5 P 8 G P 2 5 P 8 P 4 7 G 3 = P 2 5 P 8 P 4 7 G in P S in P S in P S in G P S S 2-5 S 8- S 4-7 S -3 6 G -5 P Proceeding from MS to LS: ased on the above, < if i < i ( i i = ) and for all j > i, j = j ( j j + j j = ) = ( ) ( )( ) + ( )( )( + ) 7

59 Problem Solutions hapter in out in out Logic 5-4. In a subtractor, the sum is replaced by the difference and the carry is replaced by the borrow. The borrow at any given point is a only if in the LS direction from that point, <. Only borrow logic is needed to produce, so the difference logic is discarded in contraction.the remaining equation for borrow into the i + position is: r i+ = i i + i r i + i r i for i =,,2,3. r = giving r = When the borrow r 4 =, then <. Thus, = r 4. The resulting circuit using the borrow logic is: i i i i i i r i+ r i r i+ r i r i+ r i = for <, = otherwise. E= for =, E = otherwise. Use the carry logic from problem 5-4 to produce, with the addition of difference logic to find E: i = i i r i = = its -3 use regular subtractor logic. E = 3 2 =

60 Problem Solutions hapter i i i i i i r i+ i r i r i+ i r i r i+ i r i E ircuit iagram: 4 4 S/ ontrol Logic dd/subtract Sign Sub Sign Sub/dd s omplementer dder/subtractor out 4-bit dder S 3 S 2 S S in ontrol Logic Resultorrection Sign Sub orr out Sign 2 s omplementer ontrol Logic Truth Tables S 4 S 3 S 2 S S Inputs Inputs S/ Sign Sign Sub Sub Sign out orr Sign Overflow Sub = S/ Sign Sign orr = Sub out Sign = Sign orr Overflow = Sub out 5-7.* S 4 S 3 S 2 S S a) b) c) d) e) 9

61 Problem Solutions hapter * ddend 4-bit dder out Sum ugend ddend 4-bit dder out Sum ugend 3 2 ddend 4-bit dder out Sum ugend S 7 S 6 S 5 S 4 S 3 S 2 S S ddend 4-bit dder out Sum ugend S 7 S 6 S 5 S 4 S 3 S 2 S S a) b) Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q Q R 7 R 6 R 5 R 4 R 3 R 2 R R

62 Problem Solutions hapter * library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_unsigned.all; entity ad_sub_4_bit is port (, : in ST_LOGI_VETOR (3 downto ); Sel: in ST_LOGI; S: out ST_LOGI_VETOR (3 downto ); 4: out ST_LOGI ); end ad_sub_4_bit; architecture ad_sub_4_bit_arch of ad_sub_4_bit is signal temp: std_logic_vector(4 downto ); temp <= ('' & ) + ('' & ) when Sel = '' else ('' & ) + not('' & ) + "" when Sel = '' else ""; S <= temp(3 downto ); 4 <= temp(4); end ad_sub_4_bit_arch;

63 Problem Solutions hapter library IEEE; use IEEE.std_logic_64.all; entity PF is port (,, : in ST_LOGI; P, G, S: out ST_LOGI); end PF; architecture PF_arch of PF is P <= xor ; G <= and ; S <= xor xor ; end PF_arch; library IEEE; use IEEE.std_logic_64.all; entity cla_logic is port ( P, G: in ST_LOGI_VETOR(3 downto ); : in ST_LOGI; GG, GP,, 2, 3: out ST_LOGI); end cla_logic; architecture cla_logic_arch of cla_logic is <= G() or (P() and ); 2 <= G() or (P() and G()) or (P() and P() and ); 3 <= G(2) or (P(2) and G()) or (P(2) and P() and G()) or (P(2) and P() and P() and ); GP <= P(3) and P(2) and P() and P(); GG <= G(3) or (P(3) and G(2)) or (P(3) and P(2) and G()) or (P(3) and P(2) and P() and G()); end cla_logic_arch; library IEEE; use IEEE.std_logic_64.all; entity cla_4_bit is port (, : in ST_LOGI_VETOR(3 downto ); : in ST_LOGI; S: out ST_LOGI_VETOR(3 downto ); 4, GG, GP: out ST_LOGI); end cla_4_bit; architecture cla_4_bit_arch of cla_4_bit is component PF port (,, : in ST_LOGI; P, G, S: out ST_LOGI); end component; component cla_logic port ( P, G: in ST_LOGI_VETOR(3 downto ); : in ST_LOGI; GG, GP,, 2, 3: out ST_LOGI); end component; 2

64 Problem Solutions hapter 5 signal P, G: ST_LOGI_VETOR(3 downto ); signal : ST_LOGI_VETOR(3 downto ); bit: PF port map((),(),,p(),g(),s()); bit: PF port map((),(),(),p(),g(),s()); bit2: PF port map((2),(2),(2),p(2),g(2),s(2)); bit3: PF port map((3),(3),(3),p(3),g(3),s(3)); carry_logic: cla_logic port map(p, G,, GG, GP,(), (2), (3)); end cla_4_bit_arch; * 3

65 Problem Solutions hapter / 4-bit dder: ehavioral Verilog escription module adder_4_b_v(,, Sel, S, 4); input[3:], ; input Sel; output[3:] S; output 4; assign {4, S} = Sel? ( - ):( + ); endmodule module PF(,,, P, G, S); input,, ; output P, G, S; assign P= ^ ; assign G= & ; assign S= ( ^ ) ^ ; endmodule module cla_logic (P, G, GG, GP,,, 2, 3) ; input [3:] P, G ; output GG, GP ; input ; output, 2, 3; assign = G[] (P[] & ); assign 2= G[] P[] & G[] P[] & P[] & ; assign 3= G[2] P[2] & G[] P[2] & P[] & G[] P[2] & P[] & P[] & ; assign GP= P[3] & P[2] & P[] & P[]; assign GG= G[3] P[3] & G[2] P[3] & P[2] & G[] P[3] & P[2] & P[] & G[]; endmodule endmodule 4

66 Problem Solutions hapter 5 module cla_4_bit (,,, S, 4, GG,GP); input [3:], ; input ; output [3:] S; output GG, GP; wire[3:] P, G; wire[3:] ; PF bit([],[],,p[],g[],s[]), bit([],[],[],p[],g[],s[]), bit2([2],[2],[2],p[2],g[2],s[2]), bit3([3],[3],[3],p[3],g[3],s[3]); cla_logic logic(p, G, GG, GP,, [], [2], [3]); endmodule 5

67 HPTER S_n R_n Q Q_n ps 2 ns 4 ns 6 ns 8 ns 6-2. S R Q Q_n ps 5 ns ns 5 ns 2 ns 6-3. Q Q_n ps 5 ns ns 5 ns 6-4. (Errata: Flip-flop is master-slave.) a)there are no setup time violations. There is a hold time violation at 28 ns. There is an input combination violation just before 24 ns. b) There are no setup time violations. There is a hold time violation just before 24 ns. There is an input combination violation just before 24 ns. c) There is a setup time violation at 28ns. d) There is a hold time violation at 6ns and a setup time violation at 24ns. 6

68 7 Problem Solutions hapter * 6-7. Y Z 2 3 x/, x/ x/ / / / x/, x/ x/ / / / lock lock Format: Y/Z (x = unspecified) Present state Inputs Next state Output Y Z = = Present state Input Next state State diagram is the combination of the above two diagrams. Present state Inputs Next state Output Q Y Q S /, / /, / /, / /, / Format: Y/S

69 Problem Solutions hapter Present State Input Output Next State 6-9.* / / / x/, / / / / / 3 2 x/, / Format: Y/Z /, / / 6-.* S = R = S = R = Present state Input Next state Output / / Y / / / 2 3 / Format: /Y / / 6-. a) The longest direct path delay is from input through the two OR gates to the output Y. t delay = t pdor + t pdor = 2.ns + 2.ns = 4.ns b) The longest path from an external input to a positive clock edge is from input through the OR gate and the inverter to the FlipFlop. t delay = t pdor + t pd INV + t sff = 2.ns +.5ns +.ns = 3.5ns c) The longest path delay from the positive clock edge is from FlipFlop through the two OR gates to the output Y. t delay = t pdff + t pdor + t pdor = 2.ns + 2.ns + 2.ns = 6.ns d) The longest path delay from positive clock edge to positive clock edge is from FlipFlop through the OR gate and inverter to FlipFlop. 8

70 Problem Solutions hapter 6 t delay = t pdff + t pdor + t pdinv + t sff = 2.ns + 2.ns +.5ns +.ns = 5.5ns e) The maximum frequency is /t delaymax. For this circuit, the longest delay is 6. ns, so the maximum frequency is /5.5 ns = 8.82 MHz a) The longest direct path delay is from input through the four OR gates to the output Y. t delay = t pdor + t pdor + t pdor + t pdor = 2.ns + 2.ns + 2.ns + 2.ns = 8.ns b) The longest path from an external input to a positive clock edge is from input through three OR gates and the inverter to the second FlipFlop. t delay = t pdor + t pdor + t pdor + t pd INV + t sff = 2.ns + 2.ns +2.ns +.5ns +.ns = 7.5ns c) The longest path delay from the positive clock edge is from the first FlipFlop through the four OR gates to the output Y. t delay = t pdff + t pdor + t pdor + t pdor + t pdor = 2.ns + 2.ns + 2.ns + 2.ns + 2.ns =.ns d) The longest path delay from positive clock edge to positive clock edge is from the first FlipFlop through three OR gates and one inverter to the second FlipFlop. t delay = t pdff + t pdor + t pdor + t pdor + t pdinv + t sff = 2.ns + 2.ns + 2.ns + 2.ns +.5ns +.ns = 9.5ns e) The maximum frequency is /t delay-clockedge to clockedge. For this circuit, the longest delay is. ns, so the maximum frequency is /9.5 ns = 5.26 MHz a) S R Reset lock S R Y 9

71 Problem Solutions hapter 6 b) Reset lock Y 6-4.* Present state Input Next state = + + = + Logic diagram not given. 6-5.* Format: Y/Z (x = unspecified) Present state Inputs Next state Output Q(t) Y Q(t+) Z / x/x x/x / / / 6-6. E= / / 2/ 3/ 4/ 5/ 6/ 7/ E= 2

72 Problem Solutions hapter 6 Present state Next State For Input Output 2 E= E= Z The state assignment could be different. E. g., state 7 could be with state. This would permit use of R inputs on the flip-flops for RESET. RESET E S S Z S 2 LK 6-7. E= / / 2/ 3/ 4/ 5/ 6/ 7/ Present state Next State For Input E= Output 2 E= E= Z ssumes for E =, the output remains at. 2

73 22 Problem Solutions hapter LK Z E S S S RESET =/Z=,S= =/ =/Z=,S= Z=,S= =x/ Z=,S= =/ Z=,S= =/ Z=,S= =/Z=,S= =/ Z=,S= =/ =/ Z=,S= Z=, S= =/Z=,S= LK Z S Present state Input Next state Output Z S

74 Problem Solutions hapter (Errata: Last two bits of NRSI Message: change "" to "") = / Z= = / Z= = / Z= Present Next state Input state Output = / Z= Z LK R RESET Z = / Z= = / Z= = / Z= Present state Input Next state Output = / Z= Z Z LK R RESET 6-2. Format: R/E (x = unspecified) xx/ / x/ / x/ x/ x/ / / Reset / / / / 23

75 24 Problem Solutions hapter * 6-24.* Present state Inputs Next state Output R E Present state Inputs Next state Output R E x/ / / x/ x/ Present state Input Next state Output Y Z Format: Y/Z (x = unspecified) Y lock S R Q Q No hange Reset Set Set a),,,, Format: SR b)

76 Problem Solutions hapter 6 c) Present state Input Next state Q S R Q(t+) x x x x x = S = SR S R S R lock Q 6-25.(Errata: hange state table in Table 6-5 to state diagram in Figure 6-25(d). ) R R R Reset lock Z Present State Next State a) = = = b) lear = Reset lear = Reset lear = Reset c, d, e, f) The circuit is suitable for child s toy, but not for life critical applications. In the case of the child s toy, it is the cheapest implementation. If an error occurs the child just needs to reset it. In life critical applications, the immediate detection of errors is critical. The circuit above enters invalid states for some errors. For a life critical application, additional circuitry is needed for immediate detection of the error (Error = + ). This circuit using the design in a), does return from the invalid states to a valid state automatically after one or two clock periods. 25

77 Problem Solutions hapter Specification Verification Present state Input Next state Next state Q S R Q(t+) Q(t+) x x x x x 6-28.(Errata: hange 6-25 to hange Table 6-6 to Figure 6-4. hange Z to Y. ) lock Reset Y ps 2 ns 4 ns 6 ns 8 ns 6-29.* RESET 7 x/, / / / 2 / / / / 3 2 x/, / Format: Y/Z 5 / 4 /, / / 9 Reset,,,,,, x, x,,,,,,,,. 26

78 Problem Solutions hapter Y Z lock lock Reset Y ps 2 ns 4 ns 6 ns 8 ns 6-3.* lock J K Y Q ps 5 ns ns 5 ns This simulation was performed without initializing the state of the latches of the flip-flop beforehand. Each gate in the flip-flop implementation has a delay of ns. The interaction of these delays with the input change times produced a narrow pulse in Y at about 55 ns. In this case, the pulse is not harmful since it dies out well before the positive clock edge occurs. Nevertheless, a thorough examination of such a pulse to be sure that it does not represent a design error or important timing problem is critical. 27

79 Problem Solutions hapter * library IEEE; use IEEE.std_logic_64.all; entity mux_4to is port ( S: in ST_LOGI_VETOR ( downto ); : in ST_LOGI_VETOR (3 downto ); Y: out ST_LOGI ); end mux_4to; -- (continued in the next column) architecture mux_4to_arch of mux_4to is process (S, ) case S is when "" => Y <= (); when "" => Y <= (); when "" => Y <= (2); when "" => Y <= (3); when others => null; end case; end process; end mux_4to_arch; library IEEE; use IEEE.std_logic_64.all; entity mux_4to is port ( S: in ST_LOGI_VETOR ( downto ); : in ST_LOGI_VETOR (3 downto ); Y: out ST_LOGI ); end mux_4to; -- (continued in the next column) architecture mux_4to_arch of mux_4to is process (S, ) if S = "" then Y <= (); elsif S = "" then Y <= (); elsif S = "" then Y <= (2); elsif S = "" then Y <= (3); else null; end if; end process; end mux_4to_arch; library IEEE; use IEEE.std_logic_64.all; entity serial Ex3 is port (clk, reset, : in ST_LOGI; Z : out ST_LOGI); end serial Ex3; architecture process_3 of serial Ex3 is type state_type is (Init,,, 2, 2, 2, 3, 3); signal state, next_state: state_type; -- Process - state register state_register: process (clk, reset) if (reset = '') then state <= Init; else if (LK'event and LK='') then state <= next_state; end if; end if; end process; -- (continued in the next column) -- Process 2 - next state function next_state_func: process (, state) case state is when Init => if ( = '') then next_state <= ; else next_state <= ; end if; when => if ( = '') then next_state <= 2; else next_state <= 2; end if; when => next_state <= 2; when 2 => next_state <= 3; when 2 => if ( = '') then next_state <= 3; else next_state <= 3; end if; 28

80 Problem Solutions hapter 6 when 2 => if ( = '') then next_state <= 3; else next_state <= 3; end if; when 3 => next_state <= Init; when 3 => next_state <= Init; end case; end process; -- Process 3 -output function output_func: process (, state) case state is when Init => if ( = '') then Z <= ''; else Z <= ''; end if; when => if ( = '') then Z <= ''; -- (continued in the next column) else Z<= ''; end if; when => Z <= ; when 2 => Z <= ; when 2 => if ( = '') then Z <= ''; else Z <= ''; end if; when 2 => if ( = '') then Z <= ''; else Z <= ''; end if; when 3 => Z <= ; when 3 => Z <= ''; end case; end process; end process_3; clk reset x z state init b b2 b3x init b b2 b3x init b b2 b3x init clk reset x z state init b b2 b3 init b b2x b3x init b b2x b3 init

81 Problem Solutions hapter library IEEE; use IEEE.std_logic_64.all; entity Zseq_circuit is port (, Y, LK, RESET: in ST_LOGI; Z: out ST_LOGI ); end seq_circuit; architecture seq_circuit_arch of seq_circuit is type state_type is (,); signal state, next_state: state_type; state_register: process (LK, RESET) if RESET='' then--asynchronous RESET active High state <= ; elsif (LK'event and LK='') then --LK rising edge state <= next_state; end if; end process; -- (continued in the next column) library IEEE; use IEEE.std_logic_64.all; entity NRZI is port (, LK, RESET: in ST_LOGI; Z: out ST_LOGI ); end seq_circuit; architecture process_3 of NRZI is type state_type is (S,S); signal state, next_state: state_type; state_register: process (LK, RESET) if RESET='' then--asynchronous RESET active High state <= S; elsif (LK'event and LK='') then --LK rising edge state <= next_state; end if; end process; -- (continued in the next column) next_state_process: process (, Y, state) case state is when => if ( = '' and Y = '') then next_state <= ; else next_state <= ; end if; when => if Y = '' then next_state <= ; else next_state <= ; end if; end case; end process; output_func: process (, state) case state is when => Z <= ; when => Z <= not ; end case; end process; end seq_circuit_arch; next_state_process: process (, state) case state is when S => if ( = '') then next_state <= S; else next_state <= S; end if; when S => if ( = '') then next_state <= S; else next_state <= S; end if; end case; end process; output_func: process (, state) case state is when S => Z <= ; when S => Z <= not ; end case; end process; end process_3; clk reset x state s s s s s s s z 2 3

82 Problem Solutions hapter * library IEEE; use IEEE.std_logic_64.all; entity jkff is port ( J,K,LK: in ST_LOGI; Q: out ST_LOGI ); end jkff; architecture jkff_arch of jkff is signal q_out: std_logic; state_register: process (LK) if LK'event and LK='' then --LK falling edge -- (continued in the next column) case J is when '' => if K = '' then q_out <= ''; end if; when '' => if K = '' then q_out <= ''; else q_out <= not q_out; end if; when others => null; end case; end if; end process; Q <= q_out; end jkff_arch; * module problem_6_38 (S,, Y) ; input [:] S ; input [3:] ; output Y; reg Y ; // (continued in the next column) module problem_6_39 (S,, Y) ; input [:] S ; input [3:] ; output Y; reg Y ; // (continued in the next column) or ) case (S) 2'b : Y <= [] ; 2'b : Y <= [] ; 2'b : Y <= [2] ; 2'b : Y <= [3] ; endcase; end endmodule or ) if (S == 2'b) Y <= []; else if (S == 2'b) Y <= []; else if (S == 2'b) Y <= [2]; else Y <= [3]; end endmodule 3

83 Problem Solutions hapter //Serial to Excess 3 onverter module serial Ex3(clk, reset,, Z); input clk, reset, ; output Z; reg[2:] state, next_state; parameter Init = 3'b, = 3'b, =3'b, 2= 3'b, 2 = 3'b, 2 = 3'b, 3 = 3'b, 3 = 3'b; reg Z; 2: if ( ==) next_state <= 3; else next_state <= 3; 3: next_state <= Init; 3: next_state <= Init; endcase end // State Register always@(posedge clk or posedge reset) if (reset == ) state <= Init; else state <= next_state; end // Next StateFunction always@( or state) case (state) Init: if ( == ) next_state <= ; else next_state <= ; : if ( == ) next_state <= 2; else next_state <= 2; : next_state <= 2; 2: next_state <= 3; 2: if ( == ) next_state <= 3; else next_state <= 3; // (continued in the next column) State ssignment State ode State Name Init // Output Function always@( or state) case (state) Init: if ( == ) Z <= ; else Z <= ; : if ( == ) Z <= ; else Z <= ; : Z <= ; 2: Z <= ; 2: if ( == ) Z <= ; else Z <= ; 2: if ( == ) Z <= ; else Z <= ; 3: Z <= ; 3: Z <= ; endcase end endmodule clk reset Z state clk reset Z state module seq_circuit (, Y, LK, RESET, Z) ; input, Y, LK, RESET ; output Z ; reg [2:] state, next_state, Z; // (continued in the next column) parameter S = 3'b, S = 3'b; //State register process LK or posedge RESET) if (RESET) 32

84 Problem Solutions hapter 6 state <= S; else state <= next_state; end //Next state function or Y or state) case (state) S: next_state <=? (Y? S : S) : S; S: next_state <= Y? S : S; endcase end // (continued in the next column) //Output function or Y or state) case (state) S: Z <=? (Y? 'b : 'b) : 'b; S: Z <=? 'b : (Y? 'b : 'b); endcase end endmodule //NRZI ode Generator module NRZI (, LK, RESET, Z); input, LK, RESET; output Z; reg state, next_state, Z; parameter S =, S = ; //State Register always@(posedge LK or posedge RESET) if (RESET == ) // asynchronous RESET active High state <= S; else state <= next_state; end //Next State Function always@( or state) case (state) S: if ( == ) next_state <= S; else next_state <= S; S: if ( == ) next_state <= S; else next_state <= S; endcase end always@(, state) case (state) S: Z <= ; S: Z <= ~; endcase end endmodule LK RESET state Z ps ns 2 ns 6-43.* module JK_FF (J, K, LK, Q) ; input J, K, LK ; output Q; reg Q; LK) case (J) 'b: Q <= K? : Q; 'b: Q <= K? ~Q: ; endcase endmodule 33

85 P RT 2 PROLEM SOLUTIONS NOTES ON SOLUTIONS:. Legal Notice: This publication is protected by United States copyright laws, and is designed exclusively to assist instructors in teaching their courses. It should not be made available to students, or to anyone except the authorized instructor to whom it was provided by the publisher, and should not be sold by anyone under any circumstances. Publication or widespread dissemination (i.e. dissemination of more than extremely limited extracts within the classroom setting) of any part of this material (such as by posting on the World Wide Web) is not authorized, and any such dissemination will violate the United States copyright laws. In consideration of the authors, your colleagues who do not want their students to have access to these materials, and the publisher, please respect these restrictions. 2. ompanion Website Problem Solutions: The solutions to all problems marked with a * are available to students as well as instructors on the ompanion Website. 3. Problem hallenge: The problems marked with a + are designated as more challenging than the typical problems. 4. Text Errata Notations: Text errata are noted at the ning of a problem if those errata affect either the problem or its solution. These notes indicate only errors identified in the first printing of the 3rd Edition and are expected be removed after the first printing. 5. Solutions Errata: Errata for these solutions will be provided on the ompanion Website in the Errata section.

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem HPTER 2 2-.* a) XYZ = X + Y + Z Verification of DeMorgan s Theorem Pearson Education Limited 206. X Y Z XYZ XYZ X + Y + Z 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 b) X + YZ = ( X + Y) ( X + Z) The Second

More information

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition Chapter Pearson Education, Inc.

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition Chapter Pearson Education, Inc. -3* Solutions to Problems Marked with a * in Logic and omputer Design Fundamentals, 4th Edition hapter 28 Pearson Education, Inc. -7* Decimal, Binary, Octal and Hexadecimal Numbers from (6) to (3) Dec

More information

CHAPTER * 6-2. a) 3-input NAND gate b) 4-input NOR gate * Pearson Education, Inc. a) F = (A + B) C D. b) G = (A + B) (C + D)

CHAPTER * 6-2. a) 3-input NAND gate b) 4-input NOR gate * Pearson Education, Inc. a) F = (A + B) C D. b) G = (A + B) (C + D) HPTER 6 6-.* a) = ( + ) b) G = ( + ) ( + ) 200 Pearson Education, Inc. 6-2. a) 3-input NN gate b) 4-input NOR gate +V +V 6-3. 6 inputs 6 inputs 6 inputs 6-4.* The longest path is from input or. 0.073 ns

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

Unit 16 Problem Solutions

Unit 16 Problem Solutions 5.28 (contd) I. None II. (4, 7)ü (6, 7)ü (2, 4)ü (2, 6)ü Assignment: S =, =, =, =, = A B S Present ate Next ate W = Output S S S Present ate Next ate W = Output T input equations derived from the transition

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function Question Paper Digital Electronics (EE-204-F) MDU Examination May 2015 1. (a) represent (32)10 in (i) BCD 8421 code (ii) Excess-3 code (iii) ASCII code (b) Design half adder using only NAND gates. ( c)

More information

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY UNIT III Design of ombinational Logic ircuits Department of omputer Science SRM UNIVERSITY Introduction to ombinational ircuits Logic circuits for digital systems may be ombinational Sequential combinational

More information

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12] Q.1(a) (i) Derive AND gate and OR gate

More information

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Lecture 5 Registers & Counters Part 2 Charles Kime Counters Counters are sequential circuits

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

Chapter 6. Synchronous Sequential Circuits

Chapter 6. Synchronous Sequential Circuits Chapter 6 Synchronous Sequential Circuits In a combinational circuit, the values of the outputs are determined solely by the present values of its inputs. In a sequential circuit, the values of the outputs

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization Logic and omputer Design Fundamentals hapter 2 ombinational Logic ircuits Part 2 ircuit Optimization harles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information

TYPICAL QUESTIONS & ANSWERS

TYPICAL QUESTIONS & ANSWERS TYPICAL QUESTIONS & ANSWERS PART - I OJECTIVE TYPE QUESTIONS Each Question carries 2 marks. Choose correct or the best alternative in the following: Q.1 The NAN gate output will be low if the two inputs

More information

DE58/DC58 LOGIC DESIGN DEC 2014

DE58/DC58 LOGIC DESIGN DEC 2014 Q.2 a. In a base-5 number system, 3 digit representations is used. Find out (i) Number of distinct quantities that can be represented.(ii) Representation of highest decimal number in base-5. Since, r=5

More information

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 4.1.1 Signal... 4 4.1.2 Comparison of Analog and Digital Signal... 7 4.2 Number Systems... 7 4.2.1 Decimal Number System... 7 4.2.2 Binary

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

ECE/Comp Sci 352 Digital System Fundamentals Quiz # 1 Solutions

ECE/Comp Sci 352 Digital System Fundamentals Quiz # 1 Solutions Last (Family) Name: KIME First (Given) Name: Student I: epartment of Electrical and omputer Engineering University of Wisconsin - Madison EE/omp Sci 352 igital System Fundamentals Quiz # Solutions October

More information

Learning Objectives. Boolean Algebra. In this chapter you will learn about:

Learning Objectives. Boolean Algebra. In this chapter you will learn about: Ref. Page Slide /78 Learning Objectives In this chapter you will learn about: oolean algebra Fundamental concepts and basic laws of oolean algebra oolean function and minimization Logic gates Logic circuits

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Charles Kime & Thomas Kaminski 2004 Pearson Education, Inc. Terms of Use (Hyperlinks are active

More information

Overview of Chapter 4

Overview of Chapter 4 Overview of hapter 4 Types of Sequential ircuits Storage Elements Latches Flip-Flops Sequential ircuit Analysis State Tables State Diagrams Sequential ircuit Design Specification Assignment of State odes

More information

COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University 1 OEN 312 DIGIAL SYSEMS DESIGN - LEURE NOES oncordia University hapter 6: Registers and ounters NOE: For more examples and detailed description of the material in the lecture notes, please refer to the

More information

Computer Organization I Solution 3/Version 1 CMSC 2833 Autumn 2007

Computer Organization I Solution 3/Version 1 CMSC 2833 Autumn 2007 omputer Organization I Solution /Version MS 8 utumn. Print your name on your scantron in the space labeled NME.. Print MS 8 in the space labeled SUJET.. Print the date, --, in the space labeled DTE.. Print

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 5 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 2009 Pearson Education, Upper Saddle

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I : BOOLEAN ALGEBRA AND LOGIC GATES PART - A (2 MARKS) Number

More information

Pin Details of Digital Logic Gates:

Pin Details of Digital Logic Gates: (1) (2) Pin Details of Digital Logic Gates: (3) Postulates and Theorems of Boolean algebra: S. No Postulate/Theorem Duality Remarks 1. X + 0 = X X.1 = X - 2. X + X = 1 X.X = 0-3. X + X = X X.X = X - 4.

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR TOPIS: Logic Logic Expressions Logic Gates Simplifying Logic Expressions Sequential Logic (Logic with a Memory) George oole (85-864), English mathematician, oolean logic used in digital computers since

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

Why digital? Overview. Number Systems. Binary to Decimal conversion

Why digital? Overview. Number Systems. Binary to Decimal conversion Why digital? Overview It has the following advantages over analog. It can be processed and transmitted efficiently and reliably. It can be stored and retrieved with greater accuracy. Noise level does not

More information

Problem Set 6 Solutions

Problem Set 6 Solutions CS/EE 260 Digital Computers: Organization and Logical Design Problem Set 6 Solutions Jon Turner Quiz on 2/21/02 1. The logic diagram at left below shows a 5 bit ripple-carry decrement circuit. Draw a logic

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12]

More information

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car Review Problem 1 v Describe when the dome/interior light of the car should be on. v DriverDoorOpen = true if lefthand door open v PassDoorOpen = true if righthand door open v LightSwitch = true if light

More information

Figure 1-1 Basic Gates

Figure 1-1 Basic Gates Figure - Basic Gates B ND: = B B OR: = + B NOT: = ' B ELUSIVE OR: = + B Figure -2 Full dder Y in FULL DDER (a) Full adder module out Sum Y in outsum (b) Truth Table Sum = 'Y'in + 'Yin' + Y'in' + Yin =

More information

EECS 270 Midterm 2 Exam Answer Key Winter 2017

EECS 270 Midterm 2 Exam Answer Key Winter 2017 EES 270 Midterm 2 Exam nswer Key Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of the exam

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/41 2012/6/11 extbook: igital esign, 4 th. Edition M. Morris Mano and Michael. iletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSRUOR : HING-LUNG SU E-mail: kevinsu@yuntech.edu.tw hapter 6 P-2/41

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web: Ph:

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web:     Ph: Serial : 5SP_CS_W_Digital Logic_598 Delhi Noida hopal Hyderabad Jaipur Lucknow Indore Pune hubaneswar Kolkata Patna Web: Email: info@madeeasy.in Ph: 452462 CLSS TEST 289 COMPUTER SCIENCE & IT Subject :

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

ENEL Digital Circuits Final Examination

ENEL Digital Circuits Final Examination Name: I#: Lecture Section: ENEL 353 - igital Circuits Final Examination Lecture sections : N. R. Bartley, MWF : :5, ENC 24 2: S. A. Norman, MWF : :5, ST 45 Wednesday, ecember 7, 24 Time: 7: PM : PM Locations:

More information

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of 27 WORKBOOK Detailed Eplanations of Try Yourself Questions Electrical Engineering Digital Electronics Number Systems and Codes T : Solution Converting into decimal number system 2 + 3 + 5 + 8 2 + 4 8 +

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 DEPARTMENT: EEE QUESTION BANK SUBJECT NAME: DIGITAL LOGIC CIRCUITS SUBJECT CODE: EE55 SEMESTER IV UNIT : Design of Synchronous Sequential Circuits PART

More information

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times.

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times. S.E. Sem. III [ETRX] Digital ircuit Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80. Solve following : [20].(a) Explain characteristics of logic families. [5] haracteristics of logic families are

More information

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES EC 216(R-15) Total No. of Questions :09] [Total No. of Pages : 02 II/IV B.Tech. DEGREE EXAMINATIONS, DECEMBER- 2016 First Semester ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN

More information

PG - TRB UNIT-X- DIGITAL ELECTRONICS. POLYTECHNIC-TRB MATERIALS

PG - TRB UNIT-X- DIGITAL ELECTRONICS.   POLYTECHNIC-TRB MATERIALS SRIMAAN COACHING CENTRE-PG-TRB-PHYSICS- DIGITAL ELECTRONICS-STUDY MATERIAL-CONTACT: 8072230063 SRIMAAN PG - TRB PHYSICS UNIT-X- DIGITAL ELECTRONICS POLYTECHNIC-TRB MATERIALS MATHS/COMPUTER SCIENCE/IT/ECE/EEE

More information

Written exam with solutions IE1204/5 Digital Design Monday 23/

Written exam with solutions IE1204/5 Digital Design Monday 23/ Written exam with solutions IE204/5 Digital Design Monday 23/0 207 4.00-8.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist Exam text has to be returned when you hand in your

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 8 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 ounting in Binary As you know, the binary

More information

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3 . What is a multiplexer? esign a 4 to multiplexer using logic gates. Write the truth table and explain its working principle. Answer: is a circuit with many inputs but only one output. esigning of 4 to

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: J. Rose and

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements:., Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University

More information

:3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 "

:3 2 D e c o de r S ubs ys te m 0  One 1  Ze ro 0  0  One I 1 0  One 1  Ze ro 1  Ze ro 0  0 dvanced igital Logic esign EES 303 http://ziyang.eecs.northwestern.edu/eecs303/ 5:32 decoder/demultiplexer Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 \EN 5:32

More information

Sequential vs. Combinational

Sequential vs. Combinational Sequential Circuits Sequential vs. Combinational Combinational Logic: Output depends only on current input TV channel selector (-9) inputs system outputs Sequential Logic: Output depends not only on current

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid SE140: omponents and Design Techniques for Digital Systems Simplification of logic functions Tajana Simunic Rosing 1 What we covered thus far: Number representations Where we are now inary, Octal, Hex,

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in

More information

Time Allowed 3:00 hrs. April, pages

Time Allowed 3:00 hrs. April, pages IGITAL ESIGN COEN 32 Prof. r. A. J. Al-Khalili Time Allowed 3: hrs. April, 998 2 pages Answer All uestions No materials are allowed uestion a) esign a half subtractor b) esign a full subtractor c) Using

More information

Laboratory Exercise #8 Introduction to Sequential Logic

Laboratory Exercise #8 Introduction to Sequential Logic Laboratory Exercise #8 Introduction to Sequential Logic ECEN 248: Introduction to Digital Design Department of Electrical and Computer Engineering Texas A&M University 2 Laboratory Exercise #8 1 Introduction

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS: EKURHULENI TECH COLLEGE. No. 3 Mogale Square, Krugersdorp. Website: www. ekurhulenitech.co.za Email: info@ekurhulenitech.co.za TEL: 011 040 7343 CELL: 073 770 3028/060 715 4529 PAST EXAM PAPER & MEMO N3

More information

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE Estd-1984 KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE 641 006 QUESTION BANK UNIT I PART A ISO 9001:2000 Certified 1. Convert (100001110.010) 2 to a decimal number. 2. Find the canonical SOP for the function

More information

CSC 322: Computer Organization Lab

CSC 322: Computer Organization Lab CSC 322: Computer Organization Lab Lecture 3: Logic Design Dr. Haidar M. Harmanani CSC 322: Computer Organization Lab Part I: Combinational Logic Dr. Haidar M. Harmanani Logical Design of Digital Systems

More information

Digital Electronics Circuits 2017

Digital Electronics Circuits 2017 JSS SCIENCE AND TECHNOLOGY UNIVERSITY Digital Electronics Circuits (EC37L) Lab in-charge: Dr. Shankraiah Course outcomes: After the completion of laboratory the student will be able to, 1. Simplify, design

More information

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution S.E. Sem. III [ETRX] Digital Circuits and Design Prelim uestion Paper Solution. (a) Static Hazard Static hazards have two cases: static and static. static- hazard exists when the output variable should

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web: Ph:

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web:     Ph: Serial : S_CS_C_Digital Logic_588 Delhi Noida hopal Hyderabad Jaipur Lucknow Indore Pune hubaneswar Kolkata Patna Web: E-mail: info@madeeasy.in Ph: -56 CLASS TEST 8-9 COMPUTER SCIENCE & IT Subject : Digital

More information

Philadelphia University Faculty of Engineering

Philadelphia University Faculty of Engineering Philadelphia University Faculty of Engineering Marking Scheme Exam Paper BSc CE Logic Circuits (630211) Final Exam First semester ate: 03/02/2019 Section 1 Weighting 40% of the module total Lecturer: Coordinator:

More information

UNIVERSITI TENAGA NASIONAL. College of Information Technology

UNIVERSITI TENAGA NASIONAL. College of Information Technology UNIVERSITI TENAGA NASIONAL College of Information Technology BACHELOR OF COMPUTER SCIENCE (HONS.) FINAL EXAMINATION SEMESTER 2 2012/2013 DIGITAL SYSTEMS DESIGN (CSNB163) January 2013 Time allowed: 3 hours

More information

Class Website:

Class Website: ECE 20B, Winter 2003 Introduction to Electrical Engineering, II LECTURE NOTES #5 Instructor: Andrew B. Kahng (lecture) Email: abk@ece.ucsd.edu Telephone: 858-822-4884 office, 858-353-0550 cell Office:

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Signed Numbers CprE 281: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions ombinational logic Possible logic functions of two variables asic logic oolean algebra, proofs by re-writing, proofs by perfect induction Logic functions, truth tables, and switches NOT, N, OR, NN,, OR,...,

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

E&CE 223 Digital Circuits & Systems. Winter Lecture Transparencies (Introduction) M. Sachdev

E&CE 223 Digital Circuits & Systems. Winter Lecture Transparencies (Introduction) M. Sachdev E&CE 223 Digital Circuits & Systems Winter 2004 Lecture Transparencies (Introduction) M. Sachdev 1 of 38 Course Information: People Instructor M. Sachdev, CEIT 4015, ext. 3370, msachdev@uwaterloo.ca Lab

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

Computer Organization I CRN Test 3/Version 1 CMSC 2833 CRN Spring 2017

Computer Organization I CRN Test 3/Version 1 CMSC 2833 CRN Spring 2017 . Print your name on your SANTRON in the space labeled NAME. 2. Print MS 2833 in the space labeled SUBJET. 3. Print the date 5-3-27, in the space labeled DATE. 4. Print your RN in the space labeled PERIOD.

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

Working with combinational logic

Working with combinational logic Working with combinational logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and

More information