CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem

Size: px
Start display at page:

Download "CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem"

Transcription

1 HPTER 2 2-.* a) XYZ = X + Y + Z Verification of DeMorgan s Theorem Pearson Education Limited 206. X Y Z XYZ XYZ X + Y + Z b) X + YZ = ( X + Y) ( X + Z) The Second Distributive Law X Y Z YZ X + YZ X + Y X + Z (X + Y)(X + Z) c) XY + YZ + XZ = XY + YZ + XZ X Y Z XY YZ XZ XY + YZ + XZ XY YZ XZ XY + YZ + XZ * a) X Y + XY + XY = X + Y = ( X Y + X Y ) + ( XY + XY ) = XY ( + Y) + YX ( + X) = X + Y

2 b) = = ( + ) + ( + ) = ( + ) + ( + ) + = c) Y + XZ + XY = X + Y + Z = Y + XY + XZ = ( Y + X )( Y + Y ) + XZ = Y + X + XZ = Y + ( X + X)( X + Z) = X + Y + Z d) XY + Y Z + XZ + XY + YZ = X Y + XZ + YZ = XY + YZ ( X + X ) + XZ + XY + YZ = XY + XYZ + XYZ + XZ + XY + YZ = XY ( + Z) + XYZ + XZ + XY + YZ = XY + XZ( + Y ) + XY + YZ = XY + XZ + XY ( Z + Z ) + YZ = XY + XZ + XYZ + YZ ( + X ) = XY + XZ( + Y ) + YZ = XY + XZ + YZ a) + D + + D = + D = D + D + D = ( + ) + ( D + D) + + D = D = + + D = + D b) WY + WYZ + WXZ + WXY = WY + WXZ + XYZ + XYZ = ( WY + WXYZ ) + ( WXYZ + WXYZ ) + ( WXYZ + WXYZ ) + ( WXYZ + WXY Z ) = ( WY + WXYZ ) + ( WXYZ + WXY Z ) + ( WXYZ + WXYZ ) + ( WXYZ + WXYZ ) = WY + WXZ ( Y + Y ) + XYZ ( W + W ) + XYZ ( W + W ) = WY + WXZ + XYZ + XYZ c) D + + D + = ( D)( D) = D + + D + = ( + D)( + )( + D)( + ) = ( + D + D)( + D + D) = D + D = ( D)( D) = ( D)( D) 2

3 Given: = 0, + = Prove: ( + )( + )( + ) = = ( + + )( + ) = + + = 0 + ( + ) = ( + )(0) = ( + )( + ) = ( + + ) = Step : Define all elements of the algebra as four bit vectors such as, and : Step 2: = ( 3, 2,, 0 ) = ( 3, 2,, 0 ) = ( 3, 2,, 0 ) Define OR, ND and NOT so that they conform to the definitions of ND, OR and NOT presented in Table 2-. a) + = is defined such that for all i, i = 0,...,3, i equals the OR of i and i. b) = is defined such that for all i, i = 0,...,3, i equals the ND of i and i. c) The element 0 is defined such that for = 0, for all i, i = 0,...,3, i equals logical 0. d) The element is defined such that for =, for all i, i = 0,...,3, i equals logical. e) For any element, is defined such that for all i, i = 0,...,3, i equals the NOT of i a) WXY + WY + XYW + WXY = XY + WY + WXY = XY ( + W ) + WY = XY + WY b) ( W + X)( W + Y + X ) ( W + X)( W + Y) + X( W + X) = WY + WX + XY + XW c) XYZ + XYZ + XYZ + XZ = XY + XZ + XYZ = XY + XZ d) ( W + X + Y)( X + Y) = WXY( X + Y) = WXY e) WXY + XYZ + XY + YZ + WXY = WXY + XYZ + XY + YZ = WXY + X ( Y + Z ) + YZ = XW + XY + XZ + YZ 2-7.* a) XY + XYZ + XY = X + XYZ = ( X + XY)( X + Z) = ( X + X )( X + Y)( X + Z) = ( X + Y)( X + Z) = X + YZ 3

4 b) X + Y( Z+ X + Z) = X + Y( Z+ XZ) = X + Y( Z+ X)( Z+ Z) = X + YZ+ XY = ( X + X)( X + Y) + YZ = X + Y+ YZ = X + Y c) WX ( Z + YZ ) + X ( W + WYZ ) = WXZ + WXYZ + WX + WXYZ = WXZ + WXZ + WX = WX + WX = X d) ( + )( D + D ) + = D + D + D + D + + = D + + = + + ( D ) = + + ( D) = + + D 2-8. a) XY + WY + WX = Y ( X + W ) + WX = Y + X + W + W + X b) XY + WY + WX = XY. WY. WX c) Y ( X + W ) + WX = YWX 2-9.* a) F = ( + )( + ) b) F= (( V+ WX ) + YZ ) c) F = [ W + X + ( Y + Z )( Y + Z)][ W + X + YZ + YZ ] d) F = + ( + ) + ( + ) 2-0.* Truth Tables a, b, c X Y Z a b W X Y Z c

5 a) Sum of Minterms: XYZ + XYZ + XYZ + XYZ Product of Maxterms: ( X + Y+ Z)( X + Y+ Z)( X + Y + Z)( X + Y+ Z) b) Sum of Minterms: Product of Maxterms: ( + + )( + + )( + + )( + + ) c) Sum of Minterms: WXYZ + WXYZ + WXYZ + WXYZ + WXYZ + WXYZ + WXYZ Product of Maxterms: ( W+ X + Y+ Z)( W+ X + Y+ Z)( W+ X + Y + Z) ( W+ X + Y+ Z)( W+ X + Y+ Z)( W+ X + Y + Z) ( W+ X + Y+ Z)( W+ X + Y+ Z)( W+ X + Y + Z) * a) F =Σ m(3, 4, 5, 6, 7) =ΠM (0,, 2) E =Σ m(, 3, 6, 7) =ΠM (0, 2, 4, 5) b) F = ΠM (3, 4, 5, 6, 7) E = ΠM (, 3, 6, 7) c) F + E =Σm (, 3, 4, 5, 6, 7) F E =Σm (3, 6, 7) d) F + E = XYZ + XYZ + XY Z + XYZ + XYZ + XYZ F E = XYZ + XYZ + XYZ e) XYZ + XYZ + XYZ = XYZ + XY = YX + ZY a) ( + )( + D ) = + D + = + s.o.p. = ( + ) p.o.s. b) X + X( X + Y)( Y+ Z) = ( X + X)( X + ( X + Y)( Y+ Z)) = ( X + X + Y)( X + Y+ Z) p.o.s. = ( + Y)( X + Y+ Z) = X + Y+ Z s.o.p. c) ( + + D )( + EF ) = ( + + )( + + D)( + + D)( + EF ) = ( + + )( + + D)( + + D)( + E)( + F) p.o.s. ( + + D )( + EF ) = ( + EF ) + ( + EF ) + D( + EF ) = + EF + EF + D + DEF s.o.p Y Z Y Z X W Y D a) b) c) X Z D 5

6 2-4. a) b) c) d) * a) Y b) c) X Z XZ + XY a) b) c) d) D D + + D + D + D + a) b) D + D * a) b) Y c) Y X X W Z Z D Σ m(3, 5, 6, 7) Σ m(3, 4, 5, 7, 9, 3, 4, 5) Σ m(0, 2, 6, 7, 8, 0, 3, 5) 6

7 2-9.* a) Prime = XZ, WX, XZ, WZ b) Prime = D,, D, D, c) Prime =,, D,, D, D Essential = XZ, XZ Essential =, D, D Essential =,, D a) b) c) Prime = D Prime = D, D Prime = D, D Essential = D,, Essential = D, D Essential = D, D, D F = D + D F = D + D F = D + D + D 2-2. a) b) ( + D)( + ) ( + D)( + ) 2-22.* a) s.o.p. D + + D b) s.o.p. + D + D c) s.o.p. D + D + ( or D) p.o.s. ( + D)( + D)( + + ) p.o.s. ( + D)( + D)( + + ) p.o.s. ( + )( + D)( + + D) a) b) POS = ( + + D)( + D)( + ) SOP = D + D + + D POS = ( + + D)( + + D) SOP = + + D 7

8 2-24. a) b) c) ?? 00 00?? 0 0 0???? 0 0?? * + + D + D + D + a) b) Y c) X X X X X X X X W X X X X Z D Primes =,,, Primes = XZ, XZ, WXY, WXY, WYZ, WYZ Primes =,, D, D Essential =,, Essential = XZ Essential =, D F = + + F = XZ + WXY + WXY F = + D( D or ) a) b) ? 0? 0??? 0?? 0? POS = ( + + )( + D)( + ) SOP = D + + POS = ( + + D)( + D)( + D) SOP = D + D + D 2-27.* X Y = XY + XY Dual(X Y) = Dual( XY + XY) = ( X + Y)( X + Y) = XY + XY = XY + XY = X Y 8

9 2-28. D + D + D = D + ( D) Note that X + Y = ( X Y) + XY Letting X = D and Y = D, We can observe from the map below or determine algebraically that XY is equal to 0. D For this situation, X + Y = ( X Y) + XY = ( X Y) + 0 = X Y So, we can write F(,,, D) = X Y = D ( D) D F 2-29.* The longest path is from input or D ns ns ns ns = ns a) b) c) ns 9

10 2-3. a) t PHL-, D to F = 2t PLH + 2 t PHL = 2(0.36) + 2(0.20) =.2 ns t PLH-, D to F = 2t PHL + 2t PLH = 2(0.20) + 2(0.36) =.2 ns t pd =.2 ns t = 2t PHL + t PLH = 2(0.20) + (0.36) = 0.76 ns PHL- to F t = 2t PHL + t PLH = 2(0.36) + (0.20) = ns PLH- to F t = = 0.84 ns pd- to F t = t PLH + t PHL = = 0.56 ns PHL-,, to F t = t PHL + t PLH = = 0.56 ns PLH-,, to F t = 0.56 ns pd-,, to F b) t = 4 t = 4(0.28) =.2 ns pd-, D to F pd t = 3 t = 3(0.28) = 0.78 ns pd- to F pd t to F = 2 t = 2(0.28) = 0.56 ns pd-,, pd c) For paths through an odd number of inverting gates with unequal gate t PHL and t PLH, path t PHL, t PLH, and t pd are different. For paths through an even number of inverting gates, path t PHL, t PLH, and t pd are equal If the rejection time for inertial delays is greater than the propagation delay, then an output change can occur before it can be predicted whether or not it is to occur due to the rejection time. For example, with a delay of 2 ns and a rejection time of 3 ns, for a 2.5 ns pulse, the initial edge will have already appeared at the output before the 3 ns has elapsed at which whether to reject or not is to be determined a) The propagation delay is t = max( t = 0.05, t = 0.0) = 0.0 ns. pd PHL PLH ssuming that the gate is an inverter, for a positive output pulse, the following actually occurs: 0.0 ns 0.05 ns If the input pulse is narrower than 0.05 ns, no output pulse occurs so the rejection time is 0.05 ns. The resulting model predicts the following results, which differ from the actual delay behavior, but models the rejection behavior: : 0.0 ns 0.0 ns b) For a negative output pulse, the following actually occurs: 0.5ns 0.05ns 0.0ns The model predicts the following results, which differs from the actual delay behavior and from the actual rejection behavior: 0

11 0.0ns 0.0ns Overall, the model is inaccurate for both cases a and b, and provides a faulty rejection model for case b. Using an average of t PHL and t PLH for t pd would improve the delay accuracy of the model for circuit applications, but the rejection model still fails * X N N 2 X 2 X 3 X 4 N 3 N 5 N 4 N 6 f Figure 4-40: Structural VHDL Description library ieee; use ieee.std_logic_64.all; entity nand2 is port(in, in2: in std_logic; out : out std_logic); end nand2; architecture concurrent of nand2 is begin out <= not (in and in2); end architecture; library ieee; use ieee.std_logic_64.all; entity nand3 is port(in, in2, in3 : in std_logic; out : out std_logic); end nand3; architecture concurrent of nand3 is begin out <= not (in and in2 and in3); end concurrent; library ieee; use ieee.std_logic_64.all; entity nand4 is port(in, in2, in3, in4: in std_logic; out : out std_logic); end nand4; -- The code above this point could be eliminated by using the library, func_prims. library ieee; use ieee.std_logic_64.all; entity fig440 is port(x: in std_logic_vector(2 to 0); f: out std_logic);

12 end fig440; architecture structural_2 of fig440 is component NND2 port(in, in2: in std_logic; out: out std_logic); end component; component NND3 port(in, in2, in3: in std_logic; out: out std_logic); end component; signal T: std_logic_vector(0 to 4); begin g0: NND2 port map (X(2),X(),T(0)); g: NND2 port map (X(2),T(0),T()); g2: NND2 port map (X(),T(0),T(2)); g3: NND3 port map (X(),T(),T(2),T(3)); g4: NND2 port map (X(),T(2),T(4)); g5: NND2 port map (T(3),T(4),f); end structural_2; F= XX XX begin g0: NOT_ port map (D, x); X = D + g: ND_2 port map (,, x2); Y = D g2: NOR_2 port map (, x, x3); g3: NND_2 port map (x, x3, x4); g4: OR_2 port map (x, x2, x5); g5: ND_2 port map (x4, x5, X); g6: ND_2 port map (x3, x5, Y); end structural_; 2

13 2-37. a b a c b c b a c d f g 2-38.* begin F <= (X and Z) or ((not Y) and Z); end; 2-39.* X N N 2 X 2 X 3 X 4 N 3 N 5 N 4 N 6 f module circuit_4_50(,,, D, X, Y); input,,, D; output X, Y; wire n, n2, n3, n4, n5; not go(n, D); nand g(n4, n, n3); and g2(n2,, ), g3(x, n4, n5), g4(y, n3, n5); or g5(n5, n, n2); nor g6(n3, n, ); endmodule 3

14 2-4. module circuit_4_5(x, F); input [2:0] X; output F; wire [0:4] T; nand g0(t[0],x[0],x[]), g(t[],x[0],t[0]), g2(t[2],x[],t[0]), g3(t[3],x[2],t[],t[2]), g4(t[4],x[2],t[2]), g5(f,t[3],t[4]); endmodule a b a c b c b a c d f g 2-43.* module circuit_4_53(x, Y, Z, F); input X, Y, Z; output F; assign F = (X & Z) (Z & ~Y); endmodule 4

CHAPTER * 6-2. a) 3-input NAND gate b) 4-input NOR gate * Pearson Education, Inc. a) F = (A + B) C D. b) G = (A + B) (C + D)

CHAPTER * 6-2. a) 3-input NAND gate b) 4-input NOR gate * Pearson Education, Inc. a) F = (A + B) C D. b) G = (A + B) (C + D) HPTER 6 6-.* a) = ( + ) b) G = ( + ) ( + ) 200 Pearson Education, Inc. 6-2. a) 3-input NN gate b) 4-input NOR gate +V +V 6-3. 6 inputs 6 inputs 6 inputs 6-4.* The longest path is from input or. 0.073 ns

More information

Signals and Systems Digital Logic System

Signals and Systems Digital Logic System Signals and Systems Digital Logic System Prof. Wonhee Kim Chapter 2 Design Process for Combinational Systems Step 1: Represent each of the inputs and outputs in binary Step 1.5: If necessary, break the

More information

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions EE210: Switching Systems Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions Prof. YingLi Tian Feb. 15, 2018 Department of Electrical Engineering The City College of New York The

More information

control in out in out Figure 1. Binary switch: (a) opened or off; (b) closed or on.

control in out in out Figure 1. Binary switch: (a) opened or off; (b) closed or on. Chapter 2 Digital Circuits Page 1 of 18 2. Digital Circuits Our world is an analog world. Measurements that we make of the physical objects around us are never in discrete units but rather in a continuous

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Chapter 2 - Part 1 2 Chapter 2 - Part 1 3 Chapter 2 - Part 1 4 Chapter 2 - Part

More information

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT):

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT): ENGI 386 Digital Logic II. COMBINATIONAL LOGIC DESIGN Combinational Logic output of digital system is only dependent on current inputs (i.e., no memory) (a) Boolean Algebra - developed by George Boole

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

Standard & Canonical Forms

Standard & Canonical Forms 1 COE 202- Digital Logic Standard & Canonical Forms Dr. Abdulaziz Y. Barnawi COE Department KFUPM 2 Outline Minterms and Maxterms From truth table to Boolean expression Sum of minterms Product of Maxterms

More information

Unit 2 Session - 6 Combinational Logic Circuits

Unit 2 Session - 6 Combinational Logic Circuits Objectives Unit 2 Session - 6 Combinational Logic Circuits Draw 3- variable and 4- variable Karnaugh maps and use them to simplify Boolean expressions Understand don t Care Conditions Use the Product-of-Sums

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

Chapter 2: Switching Algebra and Logic Circuits

Chapter 2: Switching Algebra and Logic Circuits Chapter 2: Switching Algebra and Logic Circuits Formal Foundation of Digital Design In 1854 George Boole published An investigation into the Laws of Thoughts Algebraic system with two values 0 and 1 Used

More information

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman CS 121 Digital Logic Design Chapter 2 Teacher Assistant Hanin Abdulrahman 1 2 Outline 2.2 Basic Definitions 2.3 Axiomatic Definition of Boolean Algebra. 2.4 Basic Theorems and Properties 2.5 Boolean Functions

More information

UNIT 5 KARNAUGH MAPS Spring 2011

UNIT 5 KARNAUGH MAPS Spring 2011 UNIT 5 KRNUGH MPS Spring 2 Karnaugh Maps 2 Contents Minimum forms of switching functions Two- and three-variable Four-variable Determination of minimum expressions using essential prime implicants Five-variable

More information

Circuits & Boolean algebra.

Circuits & Boolean algebra. Circuits & Boolean algebra http://xkcd.com/730/ CSCI 255: Introduction to Embedded Systems Keith Vertanen Copyright 2011 Digital circuits Overview How a switch works Building basic gates from switches

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

Digital Circuit And Logic Design I. Lecture 3

Digital Circuit And Logic Design I. Lecture 3 Digital Circuit And Logic Design I Lecture 3 Outline Combinational Logic Design Principles (). Introduction 2. Switching algebra 3. Combinational-circuit analysis 4. Combinational-circuit synthesis Panupong

More information

MC9211 Computer Organization

MC9211 Computer Organization MC92 Computer Organization Unit : Digital Fundamentals Lesson2 : Boolean Algebra and Simplification (KSB) (MCA) (29-2/ODD) (29 - / A&B) Coverage Lesson2 Introduces the basic postulates of Boolean Algebra

More information

M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey

M. Morris Mano Charles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River, New Jersey Instructor s Manual for Logic and omputer esign Fundamentals - 3rd Edition - Partial Preliminary Release M. Morris Mano harles R. Kime Pearson Prentice Hall Pearson Education, Inc. Upper Saddle River,

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Ch1: Digital Systems and Binary Numbers Ch2: Ch3: Gate-Level Minimization Ch4: Combinational Logic Ch5: Synchronous Sequential Logic Ch6: Registers and Counters Switching Theory & Logic Design Prof. Adnan

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

BOOLEAN ALGEBRA TRUTH TABLE

BOOLEAN ALGEBRA TRUTH TABLE BOOLEAN ALGEBRA TRUTH TABLE Truth table is a table which represents all the possible values of logical variables / statements along with all the possible results of the given combinations of values. Eg:

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 36

Contents. Chapter 3 Combinational Circuits Page 1 of 36 Chapter 3 Combinational Circuits Page of 36 Contents Combinational Circuits...2 3. Analysis of Combinational Circuits...3 3.. Using a Truth Table...3 3..2 Using a Boolean Function...6 3.2 Synthesis of

More information

EEL 3701 Fall Multiply out the following logic expressions to obtain the Sum of Products.

EEL 3701 Fall Multiply out the following logic expressions to obtain the Sum of Products. Page 1/5 Version: 7/30/17 1. Multiply out the following logic expressions to obtain the Sum of Products.. (/W /X Y) (W /Z) (/W X /Z) (W X) (W Y /Z). (/W /Y Z) (/W Y) (X /Y /Z) (/W X Y) (Y Z) 2. Using oolean

More information

UNIVERSITY OF CALIFORNIA, RIVERSIDE

UNIVERSITY OF CALIFORNIA, RIVERSIDE Final Page of UNIVERITY OF CLIFORNI, RIVERIDE Computer cience Department and Electrical Engineering Department C/EE20 Logic Design Final December, 2000 50 Name: olution Key tudent ID#: Please print legibly

More information

Standard Expression Forms

Standard Expression Forms ThisLecture will cover the following points: Canonical and Standard Forms MinTerms and MaxTerms Digital Logic Families 24 March 2010 Standard Expression Forms Two standard (canonical) expression forms

More information

EEA051 - Digital Logic 數位邏輯 吳俊興高雄大學資訊工程學系. September 2004

EEA051 - Digital Logic 數位邏輯 吳俊興高雄大學資訊工程學系. September 2004 EEA051 - Digital Logic 數位邏輯 吳俊興高雄大學資訊工程學系 September 2004 Boolean Algebra (formulated by E.V. Huntington, 1904) A set of elements B={0,1} and two binary operators + and Huntington postulates 1. Closure

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

CHAPTER 2 BOOLEAN ALGEBRA

CHAPTER 2 BOOLEAN ALGEBRA CHAPTER 2 BOOLEAN ALGEBRA This chapter in the book includes: Objectives Study Guide 2.1 Introduction 2.2 Basic Operations 2.3 Boolean Expressions and Truth Tables 2.4 Basic Theorems 2.5 Commutative, Associative,

More information

In Module 3, we have learned about Exclusive OR (XOR) gate. Boolean Expression AB + A B = Y also A B = Y. Logic Gate. Truth table

In Module 3, we have learned about Exclusive OR (XOR) gate. Boolean Expression AB + A B = Y also A B = Y. Logic Gate. Truth table Module 8 In Module 3, we have learned about Exclusive OR (XOR) gate. Boolean Expression AB + A B = Y also A B = Y Logic Gate Truth table A B Y 0 0 0 0 1 1 1 0 1 1 1 0 In Module 3, we have learned about

More information

ELC224C. Karnaugh Maps

ELC224C. Karnaugh Maps KARNAUGH MAPS Function Simplification Algebraic Simplification Half Adder Introduction to K-maps How to use K-maps Converting to Minterms Form Prime Implicants and Essential Prime Implicants Example on

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev E&CE 223 Digital Circuits & Systems Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean Algebra & Logic Gates Major topics Boolean algebra NAND & NOR gates Boolean

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates CSA051 - Digital Systems 數位系統導論 Chapter 2 Boolean Algebra and Logic Gates 吳俊興國立高雄大學資訊工程學系 Chapter 2. Boolean Algebra and Logic Gates 2-1 Basic Definitions 2-2 Axiomatic Definition of Boolean Algebra 2-3

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates Digital Circuits & Systems Lecture Transparencies (Boolean lgebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean lgebra & Logic Gates Major topics Boolean algebra NND & NOR gates Boolean algebra

More information

UNIVERSITI TENAGA NASIONAL. College of Information Technology

UNIVERSITI TENAGA NASIONAL. College of Information Technology UNIVERSITI TENAGA NASIONAL College of Information Technology BACHELOR OF COMPUTER SCIENCE (HONS.) FINAL EXAMINATION SEMESTER 2 2012/2013 DIGITAL SYSTEMS DESIGN (CSNB163) January 2013 Time allowed: 3 hours

More information

Chapter 2: Boolean Algebra and Logic Gates

Chapter 2: Boolean Algebra and Logic Gates Chapter 2: Boolean Algebra and Logic Gates Mathematical methods that simplify binary logics or circuits rely primarily on Boolean algebra. Boolean algebra: a set of elements, a set of operators, and a

More information

Section:3 ISE A & BMax Marks: 50 Subject & code: Logic Design (10CS33) Name of faculty:mrs Deepti C Date: SOLUTIONS

Section:3 ISE A & BMax Marks: 50 Subject & code: Logic Design (10CS33) Name of faculty:mrs Deepti C Date: SOLUTIONS Third Semester B.E. IA Test-I, 2015 USN 1 P E I S PESIT Bangalore South Campus (Hosur Road, 1KM before Electronic City, Bangalore-560 100) Department of Information Science &Engineering Section:3 ISE A

More information

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps EE210: Switching Systems Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps Prof. YingLi Tian Feb. 21/26, 2019 Department of Electrical Engineering The City College of New York

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

Optimizations and Tradeoffs. Combinational Logic Optimization

Optimizations and Tradeoffs. Combinational Logic Optimization Optimizations and Tradeoffs Combinational Logic Optimization Optimization & Tradeoffs Up to this point, we haven t really considered how to optimize our designs. Optimization is the process of transforming

More information

Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University

Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University Original Source: Aby K George, ECE Department, Wayne State University Contents The Map method Two variable

More information

Functions. Computers take inputs and produce outputs, just like functions in math! Mathematical functions can be expressed in two ways:

Functions. Computers take inputs and produce outputs, just like functions in math! Mathematical functions can be expressed in two ways: Boolean Algebra (1) Functions Computers take inputs and produce outputs, just like functions in math! Mathematical functions can be expressed in two ways: An expression is finite but not unique f(x,y)

More information

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web: Ph:

Delhi Noida Bhopal Hyderabad Jaipur Lucknow Indore Pune Bhubaneswar Kolkata Patna Web:     Ph: Serial : 5SP_CS_W_Digital Logic_598 Delhi Noida hopal Hyderabad Jaipur Lucknow Indore Pune hubaneswar Kolkata Patna Web: Email: info@madeeasy.in Ph: 452462 CLSS TEST 289 COMPUTER SCIENCE & IT Subject :

More information

1. Expand each of the following functions into a canonical sum-of-products expression.

1. Expand each of the following functions into a canonical sum-of-products expression. CHAPTER 4 PROLEMS 1. Expand each of the following functions into a canonical sum-of-products expression. (a) F(x, y, z) = xy + y z + x (b) F(w, x, y, z) = x y + wxy + w yz (c) F(A,,C,D) = AC + CD + C D

More information

Problem Set 6 Solutions

Problem Set 6 Solutions CS/EE 260 Digital Computers: Organization and Logical Design Problem Set 6 Solutions Jon Turner Quiz on 2/21/02 1. The logic diagram at left below shows a 5 bit ripple-carry decrement circuit. Draw a logic

More information

Logic Gate Level. Part 2

Logic Gate Level. Part 2 Logic Gate Level Part 2 Constructing Boolean expression from First method: write nonparenthesized OR of ANDs Each AND is a 1 in the result column of the truth table Works best for table with relatively

More information

Combinational Logic Design Principles

Combinational Logic Design Principles Combinational Logic Design Principles Switching algebra Doru Todinca Department of Computers Politehnica University of Timisoara Outline Introduction Switching algebra Axioms of switching algebra Theorems

More information

ENG2410 Digital Design Combinational Logic Circuits

ENG2410 Digital Design Combinational Logic Circuits ENG240 Digital Design Combinational Logic Circuits Fall 207 S. Areibi School of Engineering University of Guelph Binary variables Binary Logic Can be 0 or (T or F, low or high) Variables named with single

More information

Chapter 2 (Lect 2) Canonical and Standard Forms. Standard Form. Other Logic Operators Logic Gates. Sum of Minterms Product of Maxterms

Chapter 2 (Lect 2) Canonical and Standard Forms. Standard Form. Other Logic Operators Logic Gates. Sum of Minterms Product of Maxterms Chapter 2 (Lect 2) Canonical and Standard Forms Sum of Minterms Product of Maxterms Standard Form Sum of products Product of sums Other Logic Operators Logic Gates Basic and Multiple Inputs Positive and

More information

CHAPTER 3 BOOLEAN ALGEBRA

CHAPTER 3 BOOLEAN ALGEBRA CHAPTER 3 BOOLEAN ALGEBRA (continued) This chapter in the book includes: Objectives Study Guide 3.1 Multiplying Out and Factoring Expressions 3.2 Exclusive-OR and Equivalence Operations 3.3 The Consensus

More information

Logic Design. Chapter 2: Introduction to Logic Circuits

Logic Design. Chapter 2: Introduction to Logic Circuits Logic Design Chapter 2: Introduction to Logic Circuits Introduction Logic circuits perform operation on digital signal Digital signal: signal values are restricted to a few discrete values Binary logic

More information

Combinatorial Logic Design Principles

Combinatorial Logic Design Principles Combinatorial Logic Design Principles ECGR2181 Chapter 4 Notes Logic System Design I 4-1 Boolean algebra a.k.a. switching algebra deals with boolean values -- 0, 1 Positive-logic convention analog voltages

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates Huntington Postulates 1. (a) Closure w.r.t. +. (b) Closure w.r.t.. 2. (a) Identity element 0 w.r.t. +. x + 0 = 0 + x = x. (b) Identity element 1 w.r.t.. x 1 =

More information

Chapter 2 : Boolean Algebra and Logic Gates

Chapter 2 : Boolean Algebra and Logic Gates Chapter 2 : Boolean Algebra and Logic Gates By Electrical Engineering Department College of Engineering King Saud University 1431-1432 2.1. Basic Definitions 2.2. Basic Theorems and Properties of Boolean

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates The most common postulates used to formulate various algebraic structures are: 1. Closure. N={1,2,3,4 }, for any a,b N we obtain a unique c N by the operation

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

UNIT 3 BOOLEAN ALGEBRA (CONT D)

UNIT 3 BOOLEAN ALGEBRA (CONT D) UNIT 3 BOOLEAN ALGEBRA (CONT D) Spring 2011 Boolean Algebra (cont d) 2 Contents Multiplying out and factoring expressions Exclusive-OR and Exclusive-NOR operations The consensus theorem Summary of algebraic

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

CS61c: Representations of Combinational Logic Circuits

CS61c: Representations of Combinational Logic Circuits CS61c: Representations of Combinational Logic Circuits J. Wawrzynek March 5, 2003 1 Introduction Recall that synchronous systems are composed of two basic types of circuits, combination logic circuits,

More information

Chapter 2. Boolean Algebra and Logic Gates

Chapter 2. Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates Basic Definitions A binary operator defined on a set S of elements is a rule that assigns, to each pair of elements from S, a unique element from S. The most common

More information

Digital Logic Design. Combinational Logic

Digital Logic Design. Combinational Logic Digital Logic Design Combinational Logic Minterms A product term is a term where literals are ANDed. Example: x y, xz, xyz, A minterm is a product term in which all variables appear exactly once, in normal

More information

COE 202: Digital Logic Design Combinational Logic Part 2. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Combinational Logic Part 2. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Combinational Logic Part 2 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Minterms and Maxterms From truth table to Boolean expression

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

Unit 2 Boolean Algebra

Unit 2 Boolean Algebra Unit 2 Boolean Algebra 1. Developed by George Boole in 1847 2. Applied to the Design of Switching Circuit by Claude Shannon in 1939 Department of Communication Engineering, NCTU 1 2.1 Basic Operations

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization Dr. Bassem A. Abdullah Computer and Systems Department Lectures Prepared by Dr.Mona Safar, Edited and Lectured by Dr.Bassem A. Abdullah Outline 1. The Map Method 2. Four-variable

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

Computer Organization I. Lecture 13: Design of Combinational Logic Circuits

Computer Organization I. Lecture 13: Design of Combinational Logic Circuits Computer Organization I Lecture 13: Design of Combinational Logic Circuits Overview The optimization of multiple-level circuits Mapping Technology Verification Objectives To know how to optimize the multiple-level

More information

CSCI 220: Computer Architecture I Instructor: Pranava K. Jha. Multiplexers

CSCI 220: Computer Architecture I Instructor: Pranava K. Jha. Multiplexers CSCI : Computer Architecture I Instructor: Pranava K. Jha Multiplexers Q. Develop a Boolean expression for the function F in terms of the input variables v, w, x, y and z in the following circuit. (E is

More information

This form sometimes used in logic circuit, example:

This form sometimes used in logic circuit, example: Objectives: 1. Deriving of logical expression form truth tables. 2. Logical expression simplification methods: a. Algebraic manipulation. b. Karnaugh map (k-map). 1. Deriving of logical expression from

More information

Combinational Logic. Fan-in/ Fan-out Timing. Copyright (c) 2012 Sean Key

Combinational Logic. Fan-in/ Fan-out Timing. Copyright (c) 2012 Sean Key Combinational Logic Fan-in/ Fan-out Timing Copyright (c) 2012 Sean Key Fan-in & Fan-out Fan-in The number of inputs to a logic gate Higher fan-in can lead to longer gate delays because of the higher input

More information

CS 226: Digital Logic Design

CS 226: Digital Logic Design CS 226: Digital Logic Design 0 1 1 I S 0 1 0 S Department of Computer Science and Engineering, Indian Institute of Technology Bombay. 1 of 29 Objectives In this lecture we will introduce: 1. Logic functions

More information

Boolean Algebra and Logic Simplification

Boolean Algebra and Logic Simplification S302 Digital Logic Design Boolean Algebra and Logic Simplification Boolean Analysis of Logic ircuits, evaluating of Boolean expressions, representing the operation of Logic circuits and Boolean expressions

More information

Ex: Boolean expression for majority function F = A'BC + AB'C + ABC ' + ABC.

Ex: Boolean expression for majority function F = A'BC + AB'C + ABC ' + ABC. Boolean Expression Forms: Sum-of-products (SOP) Write an AND term for each input combination that produces a 1 output. Write the input variable if its value is 1; write its complement otherwise. OR the

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

EE 110 Practice Problems for Exam 1: Solutions, Fall 2008

EE 110 Practice Problems for Exam 1: Solutions, Fall 2008 EE Practice Problems for Exam : Solutions, Fall 28. ircle T (true) or F (false) for each of these oolean equations. (a). T FO + = (b). T FO + = ( + )( + ) (c). TO F = (d). TO F () = () (e). TO F + + =

More information

Sample Marking Scheme

Sample Marking Scheme Page 1 of 10 School of Computer Science 60-265-01 Computer Architecture and Digital Design Fall 2008 Midterm Examination # 1 B Wednesday, November 5, 2008 Sample Marking Scheme Duration of examination:

More information

UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits

UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits 2 Wawrzynek, Garcia 2004 c UCB UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits 1 Introduction Original document by J. Wawrzynek (2003-11-15) Revised

More information

Exclusive OR/ Exclusive NOR

Exclusive OR/ Exclusive NOR University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Chapter 2 Combinational Logic Circuits Part 8 Charles Kime & Thomas Kaminski Exclusive

More information

Boolean Algebra & Logic Gates. By : Ali Mustafa

Boolean Algebra & Logic Gates. By : Ali Mustafa Boolean Algebra & Logic Gates By : Ali Mustafa Digital Logic Gates There are three fundamental logical operations, from which all other functions, no matter how complex, can be derived. These Basic functions

More information

Synthesis of 2-level Logic Exact and Heuristic Methods. Two Approaches

Synthesis of 2-level Logic Exact and Heuristic Methods. Two Approaches Synthesis of 2-level Logic Exact and Heuristic Methods Lecture 7: Branch & Bound Exact Two Approaches Find all primes Find a complete sum Find a minimum cover (covering problem) Heuristic Take an initial

More information

EC-121 Digital Logic Design

EC-121 Digital Logic Design EC-121 Digital Logic Design Lecture 2 [Updated on 02-04-18] Boolean Algebra and Logic Gates Dr Hashim Ali Spring 2018 Department of Computer Science and Engineering HITEC University Taxila!1 Overview What

More information

Unit 2 Boolean Algebra

Unit 2 Boolean Algebra Unit 2 Boolean Algebra 2.1 Introduction We will use variables like x or y to represent inputs and outputs (I/O) of a switching circuit. Since most switching circuits are 2 state devices (having only 2

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

Logic Design I (17.341) Fall Lecture Outline

Logic Design I (17.341) Fall Lecture Outline Logic Design I (17.341) Fall 2011 Lecture Outline Class # 06 October 24, 2011 Dohn Bowden 1 Today s Lecture Administrative Main Logic Topic Homework 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Karnaugh Maps

Introduction to Digital Logic Missouri S&T University CPE 2210 Karnaugh Maps Introduction to Digital Logic Missouri S&T University CPE 2210 Karnaugh Maps Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and Technology

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

Binary Logic and Gates. Our objective is to learn how to design digital circuits.

Binary Logic and Gates. Our objective is to learn how to design digital circuits. Binary Logic and Gates Introduction Our objective is to learn how to design digital circuits. These circuits use binary systems. Signals in such binary systems may represent only one of 2 possible values

More information

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations Introduction to Electrical Engineering, II LETURE NOTES #2 Instructor: Email: Telephone: Office: ndrew. Kahng (lecture) abk@ucsd.edu 858-822-4884 office 3802 P&M lass Website: http://vlsicad.ucsd.edu/courses/ece20b/wi04/

More information

Boolean Algebra, Gates and Circuits

Boolean Algebra, Gates and Circuits Boolean Algebra, Gates and Circuits Kasper Brink November 21, 2017 (Images taken from Tanenbaum, Structured Computer Organization, Fifth Edition, (c) 2006 Pearson Education, Inc.) Outline Last week: Von

More information

Lecture 4: More Boolean Algebra

Lecture 4: More Boolean Algebra Lecture 4: More Boolean Algebra Syed M. Mahmud, Ph.D ECE Department Wayne State University Original Source: Prof. Russell Tessier of University of Massachusetts Aby George of Wayne State University ENGIN2

More information

Review: Additional Boolean operations

Review: Additional Boolean operations Review: Additional Boolean operations Operation: NAND (NOT-AND) NOR (NOT-OR) XOR (exclusive OR) Expressions: (xy) = x + y (x + y) = x y x y = x y + xy Truth table: x y (xy) x y (x+y) x y x y 0 0 1 0 1

More information

Pin Details of Digital Logic Gates:

Pin Details of Digital Logic Gates: (1) (2) Pin Details of Digital Logic Gates: (3) Postulates and Theorems of Boolean algebra: S. No Postulate/Theorem Duality Remarks 1. X + 0 = X X.1 = X - 2. X + X = 1 X.X = 0-3. X + X = X X.X = X - 4.

More information

Part 5: Digital Circuits

Part 5: Digital Circuits Characteristics of any number system are: Part 5: Digital Circuits 5.: Number Systems & Code Conversions. ase or radix is equal to the number of possible symbols in the system 2. The largest value of digit

More information

CHAPTER 12 Boolean Algebra

CHAPTER 12 Boolean Algebra 318 Chapter 12 Boolean Algebra CHAPTER 12 Boolean Algebra SECTION 12.1 Boolean Functions 2. a) Since x 1 = x, the only solution is x = 0. b) Since 0 + 0 = 0 and 1 + 1 = 1, the only solution is x = 0. c)

More information

DIGITAL CIRCUIT LOGIC BOOLEAN ALGEBRA

DIGITAL CIRCUIT LOGIC BOOLEAN ALGEBRA DIGITAL CIRCUIT LOGIC BOOLEAN ALGEBRA 1 Learning Objectives Understand the basic operations and laws of Boolean algebra. Relate these operations and laws to circuits composed of AND gates, OR gates, INVERTERS

More information

Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE

Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Today s Topics Boolean algebra applications in logic

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in

More information