Shannon dekomposition

Size: px
Start display at page:

Download "Shannon dekomposition"

Transcription

1 Shannon dekomposition Claude Shannon matematiker/elektrotekniker 96 William Sandqvist

2 ÖH 8.6 Visa hur en 4-to- multipleor kan användas som funktionsgenerator för att te. Generera OR-funktionen. William Sandqvist

3 ÖH 8.6 Visa hur en 4-to- multipleor kan användas som funktionsgenerator för att te. Generera OR-funktionen. William Sandqvist

4 ÖH 8.6 Visa hur en 4-to- multipleor kan användas som funktionsgenerator för att te. Generera OR-funktionen. William Sandqvist

5 William Sandqvist

6 BV 6. Sho ho the function f,, m,,, 4, 5, 7 can e implemented using a -to-8 decoder and an OR gate. William Sandqvist illiam@kth.se

7 BV 6. Sho ho the function f,, m,,, 4, 5, 7 can e implemented using a -to-8 decoder and an OR gate. William Sandqvist illiam@kth.se

8 William Sandqvist

9 ÖH 8.7 En majoritetsgrind antar på utgången samma värde som en majoritet av ingångarna. Grinden kan te. användas i feltolerant logik, eller till ildehandlingskretsar. a Ställ upp grindens sanningstaell och minimera funktionen med Karnaughdiagram. Realisera funktionen med AND-OR grindar. Realisera majoritetsgrinden med en 8: MUX. c Använd Shannon dekomposition och realisera majoritetsgrinden med en : MUX och grindar. d Realisera majorotetsgrinden med ara : MUXar. William Sandqvist illiam@kth.se

10 8.7a Med AND OR grindar William Sandqvist

11 8.7a Med AND OR grindar William Sandqvist

12 8.7a Med AND OR grindar M ac a c William Sandqvist illiam@kth.se

13 8.7a Med AND OR grindar M ac a c William Sandqvist illiam@kth.se

14 8.7 Med 8-to- mu William Sandqvist

15 8.7 Med 8-to- mu William Sandqvist

16 8.7c Shannon dekomposition. -to- mu och grindar. William Sandqvist

17 8.7c Shannon dekomposition. -to- mu och grindar. M a c ac ac ac a c a c c c William Sandqvist illiam@kth.se

18 8.7c Shannon dekomposition. -to- mu och grindar. M a c ac ac ac a c a c c c William Sandqvist illiam@kth.se

19 8.7c Shannon dekomposition. -to- mu och grindar. M a c ac ac ac a c a c c c OR William Sandqvist illiam@kth.se

20 8.7c Shannon dekomposition. -to- mu och grindar. M a c ac ac ac a c a c c c a c a c OR William Sandqvist illiam@kth.se

21 8.7c Shannon dekomposition. -to- mu och grindar. M a c ac ac ac a c a c c c a c a c OR William Sandqvist illiam@kth.se

22 8.7d Shannon dekomposition. Enart -to- muar. William Sandqvist

23 William Sandqvist 8.7d Shannon dekomposition. Enart -to- muar. c c c c c c c h c c g c h c g c a c a M

24 William Sandqvist 8.7d Shannon dekomposition. Enart -to- muar. c c c c c c c h c c g c h c g c a c a M

25 William Sandqvist 8.7d Shannon dekomposition. Enart -to- muar. c c c c c c c h c c g c h c g c a c a M

26 William Sandqvist

27 BV 6.5 For the function f,, m,,, 6 use Shannon s epansion to derive an implementation using a -to- multipleer and any necessary gates. William Sandqvist illiam@kth.se

28 BV 6.5 William Sandqvist For the function use Shannon s epansion to derive an implementation using a -to- multipleer and any necessary gates. 6,,,,, m f,,,,, m f

29 BV 6.5 William Sandqvist For the function use Shannon s epansion to derive an implementation using a -to- multipleer and any necessary gates. 6,,,,, m f,,,,, m f

30 BV 6.5 William Sandqvist For the function use Shannon s epansion to derive an implementation using a -to- multipleer and any necessary gates. 6,,,,, m f,,,,, m f

31 William Sandqvist

32 ÖH 8.9 Visa hur en 4 ingångars eorgrind XOR, udda paritetsfunktion realiseras i en FPGA-krets. Visa innehållet i SRAM-cellerna LUT, LookUp Tale. William Sandqvist illiam@kth.se

33 8.9 William Sandqvist

34 8.9 William Sandqvist

35 8.9 William Sandqvist

36 ÖH 8.8 Ställ upp Ställ upp heladderarens sanningstaell. Visa hur en heladderare realiseras i en FPGA-krets. Logikelementen i en FPGA har möjlighet att kaskadkoppla C OUT och C IN mellan grannarna. Visa innehållet i SRAMcellerna LUT, LookUp Tale. William Sandqvist illiam@kth.se

37 8.8 William Sandqvist

38 8.8 William Sandqvist

39 8.8 William Sandqvist

40 8.8 William Sandqvist

41 BV e 6. In digital systems it is often necessary to have circuits that can shift the its of a vector one or more it positions to the left or right. Design a circuit that can shift a four-it vector W one it position to the right hen a control signal Shift is equal to. Let the outputs of the circuit e a four-it vector Y y y y y and a signal k, such that if Shift then y, y, y, y, and k. If Shift then Y W and k. William Sandqvist illiam@kth.se

42 William Sandqvist

43 BV e 6. Vi använder multipleorer: William Sandqvist illiam@kth.se

44 BV e 6. Vi använder multipleorer: William Sandqvist illiam@kth.se

45 William Sandqvist

46 BV e. 6. Barrel shifter The shifter in Eample 6. shifts the its of an input vector y one it position to the right. It fills the vacated it on the left side ith. If the its that are shifted out are placed into the vacated position on the left, then the circuit effectively rotates the its of the input vector y a specified numer of it positions. Such a circuit is called a arrel shifter. Design a four-it arrel shifter that rotates the its y,,, or it positions as determined y the valuation of to control signals s and s. En arrelshifter används för att snaa upp flyttalsoperationer. William Sandqvist illiam@kth.se

47 Barrel shifter William Sandqvist

48 BV e. 6. Sanningstaell: William Sandqvist

49 BV e. 6. Sanningstaell: William Sandqvist

50 BV e. 6. Sanningstaell: William Sandqvist

51 BV e. 6. Sanningstaell: William Sandqvist

52 BV e. 6. Sanningstaell: William Sandqvist

53 BV e. 6. Sanningstaell: Och så vidare William Sandqvist

54 William Sandqvist

55 Lågpris FPGA Key Benefits Loest FPGA unit cost starting at $.49 Ultra-lo poer in Flash*Freeze mode, as lo as µw Nonvolatile FPGA eliminates unnecessary parts from BOM Single-chip and ultra-lo-poer products simplify oard design Variety of cost-optimized packages reduce assemly costs Lo-poer FPGAs reduce thermal management and cooling needs William Sandqvist

56 BV 6.6 Actel Corporation manufactures an FPGA family called Act, hich uses multipleer ased logic locks. Sho ho the function f can e implemented using only ACT logic locks. William Sandqvist illiam@kth.se

57 BV 6.6 f William Sandqvist illiam@kth.se

58 William Sandqvist BV 6.6 f f f

59 William Sandqvist BV 6.6 f f f

60 William Sandqvist BV 6.6 f f f

61 William Sandqvist

62 William Sandqvist VHDL BV.5a Write VHDL code to descrie the folloing functions f f VHDL koden skrivs med en teteditor och sparas i en fil med ändelsen.vhd. Koden estår alltid av två avsnitt ENTITY och ARCHITECTURE. Entity är en eskrivning av hur kretsen ser ut utifrån gränssnittet, och Architecture hur den ser ut inuti.

63 VHDL BV.5a f f Programkod skrivs med teteditorer. Man kan därför ara göra tetkommentarer till koden. Ett typsnitt med fast redd används e. Courier Ne. 4 Kommentarer örjar med - Om man vill, kan man rita förtydligande ASCII-grafik inom kommentarraderna. Man rukar också indentera tetlock som hör ihop för ökad tydlighet Functions -- ->- -- ->- f ->- -- ->- f ->- -- -> William Sandqvist illiam@kth.se

64 VHDL BV.5a f f ENTITY Functions IS PORT,,, 4 :IN STD_LOGIC; f, f, :OUT STD_LOGIC END Functions ARCHITECTURE LogicFunc OF Functions IS BEGIN f < AND NOT OR AND NOT OR NOT AND NOT 4OR AND OR AND NOT 4; f < OR NOT AND OR OR NOT 4AND OR NOT OR NOT 4; END LogicFunc ; 4 William Sandqvist illiam@kth.se

65 VHDL BV 6. Using a selected signal assignement, rite VHDL code for a 4-to- inary encoder. Only one of is at a time. LIBRARY ieee; USE IEEE.std_logic_64.all; ENTITY ENCODER IS PORT :IN STD_LOGIC_VECTOR DOWNTO ; y :OUT STD_LOGIC_VECTOR DOWNTO ; END ENCODER ARCHITECTURE Behavior OF ENCODER IS BEGIN WITH SELECT y < WHEN, WHEN, WHEN, WHEN OTHERS; END Behavior ; William Sandqvist illiam@kth.se

66 William Sandqvist

67 Y Etrauppgift Realisera Y med ara grindar, och med grindar en 4: MUX William Sandqvist illiam@kth.se

68 Y Y Y William Sandqvist illiam@kth.se

69 Y ,, Y,, William Sandqvist illiam@kth.se

70 Y ,, Y - -,, William Sandqvist illiam@kth.se

71 Y Y Y - -, Y, Y - -, Y, Y William Sandqvist illiam@kth.se

72 Y Eller Y Y - -, Y, Y, Y - -, Y Eller om det är svårt att få tag i inverterad William Sandqvist illiam@kth.se

73 William Sandqvist

Shannon decomposition

Shannon decomposition Shannon decomposition Claude Shannon mathematician / electrical engineer 96 William Sandqvist illiam@kth.se E 8.6 Sho ho a 4-to- multipleer can e used as a "function generator" for eample to generate the

More information

Shannon decomposition

Shannon decomposition Shannon decomposition Claude Shannon mathematician / electrical engineer 96 William Sandqvist illiam@kth.se E 8.6 Sho ho a 4-to- multipleer can e used as a "function generator" for eample to generate the

More information

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum 991215 Skrivtid 4 timmar Tentamen i Beräkningsstrukturer Antal uppgifter: 6 Max poäng: 30 Betygsgränser: >20 poäng 4 >25 poäng 5 Betygsgränser kan

More information

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic.

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic. Acknowledgment DLD Lab Thi et o lide on VHDL are due to Brown and Vraneic. Introduction to VHDL (Very High Speed Integrated Circuit Hardware Decription Language) 2 3 A imple logic unction and correponding

More information

Problem Set 6 Solutions

Problem Set 6 Solutions CS/EE 260 Digital Computers: Organization and Logical Design Problem Set 6 Solutions Jon Turner Quiz on 2/21/02 1. The logic diagram at left below shows a 5 bit ripple-carry decrement circuit. Draw a logic

More information

Experiment 4 Decoder Encoder Design using VHDL

Experiment 4 Decoder Encoder Design using VHDL Objective: Experiment 4 Decoder Encoder Design using VHDL To learn how to write VHDL code To Learn how to do functional simulation To do study of the synthesis done by VHDL and the theoretical desin obtained

More information

Computational Fluid Dynamics F7018T. Part II: Finite volume methods

Computational Fluid Dynamics F7018T. Part II: Finite volume methods Computational Fluid Dynamics F7018T Part II: Finite volume methods Questions to be answered Why numerical solutions of fluid mechanical problems? What is CFD? Why is it wrong to compare CFD with FEM? Can

More information

ECE223. R eouven Elbaz Office room: DC3576

ECE223. R eouven Elbaz Office room: DC3576 ECE223 R eouven Elz reouven@uwterloo.c Office room: DC3576 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers

More information

Written exam with solutions IE1204/5 Digital Design Friday 13/

Written exam with solutions IE1204/5 Digital Design Friday 13/ Written eam with solutions IE204/5 Digital Design Friday / 207 08.00-2.00 General Information Eaminer: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

Written exam with solutions IE1204/5 Digital Design Monday 23/

Written exam with solutions IE1204/5 Digital Design Monday 23/ Written exam with solutions IE204/5 Digital Design Monday 23/0 207 4.00-8.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist Exam text has to be returned when you hand in your

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

COE 328 Final Exam 2008

COE 328 Final Exam 2008 COE 328 Final Exam 2008 1. Design a comparator that compares a 4 bit number A to a 4 bit number B and gives an Output F=1 if A is not equal B. You must use 2 input LUTs only. 2. Given the following logic

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

UNIVERSITY OF CALIFORNIA, RIVERSIDE

UNIVERSITY OF CALIFORNIA, RIVERSIDE Final Page of UNIVERITY OF CLIFORNI, RIVERIDE Computer cience Department and Electrical Engineering Department C/EE20 Logic Design Final December, 2000 50 Name: olution Key tudent ID#: Please print legibly

More information

ECE380 Digital Logic. Synchronous sequential circuits

ECE380 Digital Logic. Synchronous sequential circuits ECE38 Digital Logic Synchronous Sequential Circuits: State Diagrams, State Tables Dr. D. J. Jackson Lecture 27- Synchronous sequential circuits Circuits here a clock signal is used to control operation

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 1 DIGITAL SYSTEM DESIGN LAB (EE-330-F) DIGITAL SYSTEM DESIGN LAB (EE-330-F) LAB MANUAL VI SEMESTER RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 Department Of Electronics & Communication

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem HPTER 2 2-.* a) XYZ = X + Y + Z Verification of DeMorgan s Theorem Pearson Education Limited 206. X Y Z XYZ XYZ X + Y + Z 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 b) X + YZ = ( X + Y) ( X + Z) The Second

More information

Project: Vibration Damping

Project: Vibration Damping Mekanik GK för I (FMEA10) 2018 Project: Vibration Damping Project team: Name: Personal id-number: Mekanik www.mek.lth.se. 1 Project: Vibration damping Project Specification 1. Introduction In this project

More information

STEADY CODES WITH HAMMING- DISTANCES 1 AND 2 DGT D = 1 C 2 D = 2 C 1 C Digital Circuits. Hamburg. Prof. Dr. F.

STEADY CODES WITH HAMMING- DISTANCES 1 AND 2 DGT D = 1 C 2 D = 2 C 1 C Digital Circuits. Hamburg. Prof. Dr. F. C D = amburg C 2 STEADY CODES C WIT C D = 2 AMMING- DISTANCES AND 2 C 2 C DGT-5 25.3.24 Digital Circuits DECIMA NUMBER 8 WEIGT 4 2 P u P g amburg 2 3 4 5 6 7 8 9 PARITYBIT AT 8-4-2--BCD- CODE DGT-5 25.3.24

More information

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the third quarter of the year 2006

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the third quarter of the year 2006 P-06-235 Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the third quarter of the year 2006 Reynir Böðvarsson Uppsala University, Department of Earth Sciences October

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Decoders and Encoders CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Gravitationsfält. Uppdaterad: [1] Gravitation ett märkligt fenomen [2] Gravitationsfält [3] Gravitationsfält

Gravitationsfält. Uppdaterad: [1] Gravitation ett märkligt fenomen [2] Gravitationsfält [3] Gravitationsfält Gravitationsfält Uppdaterad: 180112 [1] Gravitation ett märkligt fenomen [2] Gravitationsfält [3] Gravitationsfält Har jag använt någon bild som jag inte får använda? Låt mig veta så tar jag bort den.

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the third quarter of the year 2009

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the third quarter of the year 2009 P-09-61 Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the third quarter of the year 2009 Reynir Böðvarsson Uppsala University, Department of Earth Sciences October

More information

Konstruktion av Vippor och Latchar

Konstruktion av Vippor och Latchar Konstruktion av Vippor och Latchar Datorarkitektur 1 (1DT038) Fördjupning November 2009 karl.marklund@it.uu.se Om du läser IT (1DT038) är detta material överkurs du bör dock redan vara bekant med hur det

More information

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the second quarter of the year 2007

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the second quarter of the year 2007 P-07-163 Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the second quarter of the year 2007 Reynir Böðvarsson Uppsala University, Department of Earth Sciences July

More information

7 Multipliers and their VHDL representation

7 Multipliers and their VHDL representation 7 Multipliers and their VHDL representation 7.1 Introduction to arithmetic algorithms If a is a number, then a vector of digits A n 1:0 = [a n 1... a 1 a 0 ] is a numeral representing the number in the

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Mohammed Anvar P.K AP/ECE Al-Ameen Engineering College PLDs Programmable Logic Devices (PLD) General purpose chip for implementing circuits Can be customized using programmable

More information

Digital Control of Electric Drives

Digital Control of Electric Drives Digital Control of Electric Drives Logic Circuits - equential Description Form, Finite tate Machine (FM) Czech Technical University in Prague Faculty of Electrical Engineering Ver.. J. Zdenek 27 Logic

More information

Software Engineering 2DA4. Slides 8: Multiplexors and More

Software Engineering 2DA4. Slides 8: Multiplexors and More Software Engineering 2DA4 Slides 8: Multiplexors and More Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital Logic

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

control in out in out Figure 1. Binary switch: (a) opened or off; (b) closed or on.

control in out in out Figure 1. Binary switch: (a) opened or off; (b) closed or on. Chapter 2 Digital Circuits Page 1 of 18 2. Digital Circuits Our world is an analog world. Measurements that we make of the physical objects around us are never in discrete units but rather in a continuous

More information

Introduction To Resonant. Circuits. Resonance in series & parallel RLC circuits

Introduction To Resonant. Circuits. Resonance in series & parallel RLC circuits Introduction To esonant Circuits esonance in series & parallel C circuits Basic Electrical Engineering (EE-0) esonance In Electric Circuits Any passive electric circuit ill resonate if it has an inductor

More information

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University CAD Computer Aided Design LECTURE 2: Delay models, std_ulogic and with-select-when Instructor: Francis G. Wolff wolff@eecs.cwru.edu Chris Papachristou Case Western Reserve University Review: Full Adder:

More information

Vibration, stöt och tillståndskontroll Ordlista (ISO 2041:2009, IDT)

Vibration, stöt och tillståndskontroll Ordlista (ISO 2041:2009, IDT) SVENSK STANDARD SS-ISO 2041:2009 Fastställd/Approved: 2009-09-14 Publicerad/Published: 2009-10-13 Utgåva/Edition: 3 Språk/Language: engelska/english ICS: 01.040.17; 17.160 Vibration, stöt och tillståndskontroll

More information

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1A. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture A Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Laws

More information

FREIA Horizontal Cryostat Requirements. Roger Ruber for the FREIA team Dept. of Physics and Astronomy Uppsala University

FREIA Horizontal Cryostat Requirements. Roger Ruber for the FREIA team Dept. of Physics and Astronomy Uppsala University FREIA Horizontal Cryostat Requirements Roger Ruber for the FREIA team Dept. of Physics and Astronomy Uppsala University FREIA Horizontal Test Cryostat internal volume (3.5 m x 1.1 m) for 1 or 2 spoke or

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

VHDL Implementation of Reversible Full Adder using PERES Gate

VHDL Implementation of Reversible Full Adder using PERES Gate VHDL Implementation of Reversible Full Adder using PERES Gate Md. Riyaj 1, Anshul Gangwar 2, Gagan Goyal 3 M-tech ScholarSuresh Gyan Vihar University, Jaipur,India 1, M-tech ScholarSuresh Gyan Vihar University,

More information

Övningar i FUNKTIONALANALYS

Övningar i FUNKTIONALANALYS Matematiska vetenskaper 1. Consider the space X = C 1 [0, 1] of continuously dierentiable functions on [0, 1] with one-sided derivatives at the endpoints. Show that X is not a Banach spaces if it is given

More information

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference) ECE 3401 Lecture 23 Pipeline Design Control State Register Combinational Control Logic New/ Modified Control Word ISA: Instruction Specifications (for reference) P C P C + 1 I N F I R M [ P C ] E X 0 PC

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY Drill Problems 3 benches. Another practical book is VHDL for Programmable Logic, by Kevin Skahill of Cypress Semiconductor (Addison-esley, 1996). All of the ABEL and VHDL examples in this chapter and throughout

More information

Kurskod: TAMS28 MATEMATISK STATISTIK Provkod: TEN1 18 August 2017, 08:00-12:00. English Version

Kurskod: TAMS28 MATEMATISK STATISTIK Provkod: TEN1 18 August 2017, 08:00-12:00. English Version Kurskod: TAMS28 MATEMATISK STATISTIK Provkod: TEN1 18 August 2017, 08:00-12:00 Examiner: Zhenxia Liu (Tel: 070 0895208). Please answer in ENGLISH if you can. a. You are allowed to use a calculator, the

More information

Unit 3 Session - 9 Data-Processing Circuits

Unit 3 Session - 9 Data-Processing Circuits Objectives Unit 3 Session - 9 Data-Processing Design of multiplexer circuits Discuss multiplexer applications Realization of higher order multiplexers using lower orders (multiplexer trees) Introduction

More information

Unit 16 Problem Solutions

Unit 16 Problem Solutions 5.28 (contd) I. None II. (4, 7)ü (6, 7)ü (2, 4)ü (2, 6)ü Assignment: S =, =, =, =, = A B S Present ate Next ate W = Output S S S Present ate Next ate W = Output T input equations derived from the transition

More information

Introduction to the Xilinx Spartan-3E

Introduction to the Xilinx Spartan-3E Introduction to the Xilinx Spartan-3E Nash Kaminski Instructor: Dr. Jafar Saniie ECE597 Illinois Institute of Technology Acknowledgment: I acknowledge that all of the work (including figures and code)

More information

Ex code

Ex code Ex. 8.4 7-4-2-1 code Codeconverter 7-4-2-1-code to BCD-code. When encoding the digits 0... 9 sometimes in the past a code having weights 7-4-2-1 instead of the binary code weights 8-4-2-1 was used. In

More information

Chapter 9. Counters and Shift Registers. Counters and Shift Registers

Chapter 9. Counters and Shift Registers. Counters and Shift Registers Chapter 9 Counters and Shift Registers Counters and Shift Registers Counter: A Sequential Circuit that counts pulses. Used for Event Counting, Frequency Division, Timing, and Control Operations. Shift

More information

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the second quarter of the year 2011

P Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the second quarter of the year 2011 P-11-35 Swedish National Seismic Network (SNSN) A short report on recorded earthquakes during the second quarter of the year 2011 Reynir Böðvarsson Uppsala University, Department of Earth Sciences July

More information

Function of Combinational Logic ENT263

Function of Combinational Logic ENT263 Function of Combinational Logic ENT263 Chapter Objectives Distinguish between half-adder and full-adder Use BCD-to-7-segment decoders in display systems Apply multiplexer in data selection Use decoders

More information

Fysik 1 Kompendium: Del 2 Standard Modellen samt Ljus

Fysik 1 Kompendium: Del 2 Standard Modellen samt Ljus Fysik 1 Kompendium: Del 2 Standard Modellen samt Ljus Klass: Na2 Lärare: VT14 Relativitet: Heureka Kapitel 13 (s.282-291) samt Ergo 393-401 Formel Skriv ner här alla formel som du kommer i kontakt med

More information

Combinational Logic. Jee-Hwan Ryu. School of Mechanical Engineering Korea University of Technology and Education

Combinational Logic. Jee-Hwan Ryu. School of Mechanical Engineering Korea University of Technology and Education MEC5 디지털공학 Combinational Logic Jee-Hwan Ryu School of Mechanical Engineering Combinational circuits Outputs are determined from the present inputs Consist of input/output variables and logic gates inary

More information

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY

UNIT III Design of Combinational Logic Circuits. Department of Computer Science SRM UNIVERSITY UNIT III Design of ombinational Logic ircuits Department of omputer Science SRM UNIVERSITY Introduction to ombinational ircuits Logic circuits for digital systems may be ombinational Sequential combinational

More information

Examining Handovers in a Telecommunications Network Using Markov Chains and Dissimilarity Matrices

Examining Handovers in a Telecommunications Network Using Markov Chains and Dissimilarity Matrices DEGREE PROJECT IN MATHEMATICS, SECOND CYCLE, 30 CREDITS STOCKHOLM, SWEDEN 2018 Examining Handovers in a Telecommunications Network Using Markov Chains and Dissimilarity Matrices PONTUS RESARE KTH ROYAL

More information

An Optical Parallel Adder Towards Light Speed Data Processing

An Optical Parallel Adder Towards Light Speed Data Processing An Optical Parallel Adder Towards Light Speed Data Processing Tohru ISHIHARA, Akihiko SHINYA, Koji INOUE, Kengo NOZAKI and Masaya NOTOMI Kyoto University NTT Nanophotonics Center / NTT Basic Research Laboratories

More information

Fig. 7-6 Single Bus versus Dedicated Multiplexers

Fig. 7-6 Single Bus versus Dedicated Multiplexers 7- Select SSS2 LLL2 LLL2 S 2 to MUX R R Select S 2 to MUX R S S 3 to MUX 2 us R S 2 to MUX R2 R2 (a) Dedicated multiplexers (b) Sigle us Fig. 7-6 Sigle us versus Dedicated Multiplexers 2 Pretice Hall,

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 PLDs

Introduction to Digital Logic Missouri S&T University CPE 2210 PLDs Introduction to Digital Logic Missouri S&T University CPE 2210 PLDs Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and Technology

More information

Using Global Clock Networks

Using Global Clock Networks Using Global Clock Networks Introduction Virtex-II devices support very high frequency designs and thus require low-skew advanced clock distribution. With device density up to 0 million system gates, numerous

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Code Converters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev HW

More information

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Law Combinational

More information

Arithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be...

Arithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be... rithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be... + Finally; time to build some serious functional blocks We ll need a lot of boxes The

More information

University of Guelph School of Engineering ENG 2410 Digital Design Fall There are 7 questions, answer all questions.

University of Guelph School of Engineering ENG 2410 Digital Design Fall There are 7 questions, answer all questions. Final Examination Instructor: Shawki M. Areibi Co-examiner: Medhat Moussa. Location: UOG Date: Wednesday, December 5th, 2007 Time: 8:30-10:30 AM Duration: 2 hours. Type: R Closed Book. Instructions: University

More information

Small FPGA-Based Multiplication-Inversion Unit for Normal Basis over GF(2 m )

Small FPGA-Based Multiplication-Inversion Unit for Normal Basis over GF(2 m ) 1 / 19 Small FPGA-Based Multiplication-Inversion Unit for Normal Basis over GF(2 m ) Métairie Jérémy, Tisserand Arnaud and Casseau Emmanuel CAIRN - IRISA July 9 th, 2015 ISVLSI 2015 PAVOIS ANR 12 BS02

More information

COE 202: Digital Logic Design Combinational Circuits Part 4. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Combinational Circuits Part 4. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Combinational Circuits Part 4 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Magnitude comparator Design of 4-bit magnitude comparator

More information

Räkneövningar Empirisk modellering

Räkneövningar Empirisk modellering Räkneövningar Empirisk modellering Bengt Carlsson Systems and Control Dept of Information Technology, Uppsala University 5th February 009 Abstract Räkneuppgifter samt lite kompletterande teori. Contents

More information

And Inverter Graphs. and and nand. inverter or nor xor

And Inverter Graphs. and and nand. inverter or nor xor And Inverter Graphs and and nand inverter or nor xor And Inverter Graphs A B gate 1 u gate 4 X C w gate 3 v gate 2 gate 5 Y A u B X w Y C v And Inverter Graphs Can represent any Boolean function: v i+1

More information

Exempel variationsräkning 2, SI1142 Fysikens matematiska metoder, vt08.

Exempel variationsräkning 2, SI1142 Fysikens matematiska metoder, vt08. Fysik KTH Exempel variationsräkning 2, SI1142 Fysikens matematiska metoder, vt8. These problems demonstrate various applications of variational calculus as used in physics. Problems (1) (3) illustrate

More information

Slides for Lecture 19

Slides for Lecture 19 Slides for Lecture 19 ENEL 353: Digital Circuits Fall 2013 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary 23 October, 2013 ENEL 353

More information

Pin Details of Digital Logic Gates:

Pin Details of Digital Logic Gates: (1) (2) Pin Details of Digital Logic Gates: (3) Postulates and Theorems of Boolean algebra: S. No Postulate/Theorem Duality Remarks 1. X + 0 = X X.1 = X - 2. X + X = 1 X.X = 0-3. X + X = X X.X = X - 4.

More information

EXAMINATION in Hardware Description and Verification

EXAMINATION in Hardware Description and Verification Department of VT09 Computer Science and Engineering TDA956/DIT780 Chalmers and Gothenburg University 2009-05-27 EXAMINATION in Hardware Description and Verification DAY : 2009-05-27 TIME : 14:00-18:00

More information

Logic Design Combinational Circuits. Digital Computer Design

Logic Design Combinational Circuits. Digital Computer Design Logic Design Combinational Circuits Digital Computer Design Topics Combinational Logic Karnaugh Maps Combinational uilding locks Timing 2 Logic Circuit logic circuit is composed of: Inputs Outputs Functional

More information

A Cost- Effective Design of Reversible Programmable Logic Array

A Cost- Effective Design of Reversible Programmable Logic Array International Journal of omputer pplications (975 8887) Volume 4 No.5, March 22 ost- Effective Design of Reversible Programmable Logic rray Pradeep Singla M.Tech Scholer Hindu ollege of Engineering Sonipat,

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

3. Combinational Circuit Design

3. Combinational Circuit Design CSEE 3827: Fundamentals of Computer Systems, Spring 2 3. Combinational Circuit Design Prof. Martha Kim (martha@cs.columbia.edu) Web: http://www.cs.columbia.edu/~martha/courses/3827/sp/ Outline (H&H 2.8,

More information

CSEE 3827: Fundamentals of Computer Systems. Combinational Circuits

CSEE 3827: Fundamentals of Computer Systems. Combinational Circuits CSEE 3827: Fundamentals of Computer Systems Combinational Circuits Outline (M&K 3., 3.3, 3.6-3.9, 4.-4.2, 4.5, 9.4) Combinational Circuit Design Standard combinational circuits enabler decoder encoder

More information

CSE 140 Lecture 11 Standard Combinational Modules. CK Cheng and Diba Mirza CSE Dept. UC San Diego

CSE 140 Lecture 11 Standard Combinational Modules. CK Cheng and Diba Mirza CSE Dept. UC San Diego CSE 4 Lecture Standard Combinational Modules CK Cheng and Diba Mirza CSE Dept. UC San Diego Part III - Standard Combinational Modules (Harris: 2.8, 5) Signal Transport Decoder: Decode address Encoder:

More information

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation Outline Logic ircuits Introduction Logic Systems TTL MOS Logic Gates NOT, OR, N NOR, NN, XOR Implementation oolean lgebra ombinatorial ircuits Multipleer emultipleer rithmetic ircuits Simplifying Logic

More information

HIGH RESOLUTIO TIME-I TERVAL MEASUREME T SYSTEMS APPLIED TO FLOW MEASUREME T. Sławomir Grzelak, Marcin Kowalski, Jarosław Czoków and Marek Zieliński

HIGH RESOLUTIO TIME-I TERVAL MEASUREME T SYSTEMS APPLIED TO FLOW MEASUREME T. Sławomir Grzelak, Marcin Kowalski, Jarosław Czoków and Marek Zieliński Metrol. Meas. Syst., Vol. XXI (2014), No. 1, pp. 77 84. METROLOGY A D MEASUREME T SYSTEMS Index 330930, ISS 0860-8229 www.metrology.pg.gda.pl HIGH RESOLUTIO TIME-I TERVAL MEASUREME T SYSTEMS APPLIED TO

More information

2. This system of two second-order differential equations can be simplified to a system of four first-order differential equations by introducing two

2. This system of two second-order differential equations can be simplified to a system of four first-order differential equations by introducing two UPPSALA UNIVERSITET Inst. för informationsteknologi Avd. för teknisk databehandling Jonas Nilsson Tekniska beräkningar EI1 Miniprojekt: ODE De uppgifter som beskrivs nedan har karaktären av (förhoppningsvis

More information

Automatic Control II: Summary and comments

Automatic Control II: Summary and comments Automatic Control II: Summary and comments Hints for what is essential to understand the course, and to perform well at the exam. You should be able to distinguish between continuous-time (c-t) and discrete-time

More information

Networks of McCulloch-Pitts Neurons

Networks of McCulloch-Pitts Neurons s Lecture 4 Netorks of McCulloch-Pitts Neurons The McCulloch and Pitts (M_P) Neuron x x sgn x n Netorks of M-P Neurons One neuron can t do much on its on, but a net of these neurons x i x i i sgn i ij

More information

COMBINATIONAL LOGIC CIRCUITS. Dr. Mudathir A. Fagiri

COMBINATIONAL LOGIC CIRCUITS. Dr. Mudathir A. Fagiri COMBINATIONAL LOGIC CIRCUITS Dr. Mudathir A. Fagiri Standard Combinational Modules Decoder: Decode address Encoder: Encode address Multiplexer (Mux): Select data by address Demultiplexier (DeMux): Direct

More information

DESIGN AND IMPLEMENTATION OF ENCODERS AND DECODERS. To design and implement encoders and decoders using logic gates.

DESIGN AND IMPLEMENTATION OF ENCODERS AND DECODERS. To design and implement encoders and decoders using logic gates. DESIGN AND IMPLEMENTATION OF ENCODERS AND DECODERS AIM To design and implement encoders and decoders using logic gates. COMPONENTS REQUIRED S.No Components Specification Quantity 1. Digital IC Trainer

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101> Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer Architecture David Money Harris and

More information

Deskription. Exempel 1. Exempel 1 (lösning) Normalfördelningsmodellen (forts.)

Deskription. Exempel 1. Exempel 1 (lösning) Normalfördelningsmodellen (forts.) Deskription Normalfördelningsmodellen (forts.) 1 Exempel 1 En datorleverantör har en stödfunktion dit kunder med krånglande datorer kan ringa. Tiden det tar att svara på inkommande samtal varierar, och

More information

FAFA Föreläsning 4, läsvecka 2 7 november 2016

FAFA Föreläsning 4, läsvecka 2 7 november 2016 FAFA55 2016 Föreläsning 4, läsvecka 2 7 november 2016 Nya salar för gruppövningar F1.03 må 7/11 13-15: Byt K219 mot H222 F1.11 ti 8/11 8-10: Byt K219 mot H222 F1.03 ti 8/11 13-15: Byt K219 mot H222 F1.11

More information

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION 258 FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION Sri N.V.N.Prasanna Kumar 1, S.Bhagya Jyothi 2,G.K.S.Tejaswi 3 1 prasannakumar429@gmail.com, 2 sjyothi567@gmail.com, 3 tejaswikakatiya@gmail.com

More information

Umea University Report UMINF Department of Computing Science ISSN S Umea January 21, 1997 Sweden Algorithms and Software for th

Umea University Report UMINF Department of Computing Science ISSN S Umea January 21, 1997 Sweden Algorithms and Software for th Umea University Report UMINF 97.03 Department of Computing Science ISSN-0348-0542 S-901 87 Umea January 21, 1997 Sweden Algorithms and Software for the Computation of Parameters Occurring in ODE-models

More information

Växelspänningsprov utförda på en kompositstolpe från Jerol Industri AB

Växelspänningsprov utförda på en kompositstolpe från Jerol Industri AB TEKNISKT MEDDELANDE UTM12-472 Till Avd Datum Projnr Sida Jerol Industri AB R 212-8-14 84646 1(1) Från, tfn Göran Olsson, 24-795 32 Kopior till Växelspänningsprov utförda på en kompositstolpe från Jerol

More information

Hardness of showing hardness of the minimum circuit size problem

Hardness of showing hardness of the minimum circuit size problem DEGREE PROJECT IN THE FIELD OF TECHNOLOGY ENGINEERING PHYSICS AND THE MAIN FIELD OF STUDY COMPUTER SCIENCE AND ENGINEERING, SECOND CYCLE, 30 CREDITS STOCKHOLM, SWEDEN 2018 Hardness of showing hardness

More information

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form S.E. Sem. III [EXTC] Digital System Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80 Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form [5] (i) (42) 10 (ii) (17)

More information

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 9. Datapath Design Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 2, 2017 ECE Department, University of Texas at Austin

More information

Number representation

Number representation Number representation A number can be represented in binary in many ways. The most common number types to be represented are: Integers, positive integers one-complement, two-complement, sign-magnitude

More information