Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Size: px
Start display at page:

Download "Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments"

Transcription

1 Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments G. W. Rubloff Institute for Systems Research and Department of Materials and Nuclear Engineering 11/20/00 1

2 Acknowledgements CURRENT COWORKERS John Kidder Yiheng Xu Nayanee Gupta Rock Shi Brian Conaghan Ramaswamy Sreenivasan Evanghelos Zafiriou Theodosia Gougousi Laurent Henn-Lecordier Charles Tilford Anne Rose SUPPORT National Science Foundation Semiconductor Research Corporation Texas Instruments NIST Institute for Systems Research, UMCP Leybold Inficon Ulvac Corporation Visual Solutions, Inc. postdoc/faculty, MNE, UMCP grad student, MNE, UMCP grad student, MNE, UMCP grad student, EE, UMCP grad student, MNE, UMCP grad student, ChE, UMCP faculty, ChE, UMCP postdoc, UMCP staff, UMCP NIST staff, HCIL, UMCP PRIOR COWORKERS Laura Tedder, G. Brian Lu postdocs, NCSU Monalisa Bora, Josh Wolf,Nabil Rabbani graduate students, NCSU Brian Conaghan, David Eckard undergraduates, NCSU Greg Parsons, Yates Sorrell faculty, NCSU MENTORING Stephanie W. Butler, Texas Instruments G. Brian Lu, Novellus Systems Ed Rietman, Lucent Technologies 11/20/00 2

3 Manufacturing Process Control Decision capability = value delivered Real-time regulatory control Real-time sensor signals Real-Time Experiment Real-time comparison Expected sensor signals Everything fine Course correction Process adjustment required, beneficial Fault management Shutdown or repair indicated COURSE CORRECTION SHUTDOWN & REPAIR NO ACTION - preventive maintenance - emergency stop Process Control Response 11/20/00 3

4 APC Analysis Goal Process Control Targets Integrated Sensors Required Control Methods Physical Insights and Modeling Needed Course Correction Enhanced yield through drift compensation Single wafer state sensor Robust run-to-run and real-time process control Minimal Fault Management Enhanced tool OEE Multiple process state & equipment state sensors Wafer state sensors useful but optional Selected fault detection, classification, and response Obvious -- OR -- Physically-based simulators and/or extensive diagnosed fault database 11/20/00 4

5 Downstream Chemical Sensing for Wafer State Metrology Real-time sensing of product generation and reactant depletion Chemical sensors: mass spectrometry, acoustic, optical, Deposition and etching Thickness, etch depth, rates Support by dynamic system simulation Sensor-IN-tool models Basis for run-to-run/real-time control and fault management Importance of system identification Sensor information in complex, dynamic process cycles 11/20/00 5

6 Real-Time Mass Spectrometry for Thickness Metrology in RTCVD polysi from SiH 4 Real-time mass spectrometry through process cycle SiH 4(g) Si (s) 2 H 2(g) 300 sccm 10% SiH 4 /Ar 10-3 Gas On Lamps On Gas Off Lamps Off Integrated H 2 product signal used for real-time, in-line thickness metrology 10-4 Partial Pressure (arb. units) o C SiH 4 reactant depletion 750 o C SiH 2 H 2 H 2 reaction product Integrated H 2 Mass Spec Signal (Reaction Product) 8.0x x x10-9 Reaction Product Measured In Situ Correlates With Film Thickness D s(h 2 )dt 2.0x PolySi FilmThickness (A) (Nanometrics) 11/20/00 6

7 Wafer State (Thickness) Metrology for SiO 2 RTCVD from SiH 4 / N 2 O H 2 reaction product observed from SiH 4 decomposition ==> dominant process chemistry SiH 4 2 N 2 O ==> SiO 2 (s) 2 N 2 (g) 2 H 2 (g) Mass spec signal linear in SiO 2 thickness ==> useful product sensing Across-wafer nonuniformity (>polysi) influences measurement corrected by nonuniformity model Integrated H 2 Signal (amp-sec) 5.0x x x x x10-10 Bicomponent CVD reaction sensing ==> specific thickness metrology (from Si component of SiO 2 unit) ==> fault detection, classification, control SiO 2 Film Thickness (A) 11/20/00 7

8 3.5x x10-11 Mass Spec Sensing of SiO 2 Etching in ECR CF 4 Plasma 18 nm SiO 2 CF CF 4 4 plasma etch etch of of SiO SiO 2 2 /Si /Si 2.5x10-11 Partial Pressure 2.0x x10-11 CO SiF 3 1.0x x Time (sec) End point corresponds to etch rate determined for 18 nm SiO 2 film 11/20/00 8

9 Real-Time Sensors: Status and Limitations Sensors rich with chemical process and wafer state information exist Mass spectrometry, optical absorption and emission, acoustics, rf, Real-time chemical state information is primarily zero-dimensional Scalar vs. time at best Complex positional and temporal averaging Wafer end-pointing useful OES, interferometry, mass spectrometry, 2-D and patterned wafer state sensing is limited Full-wafer interferometry - mainly applied to plasma etching Process sensing by optical tomographies (OES, LIF,...) Access in reactor an issue Uniformity and vertical profile sensing and control difficult Sensor and reactor system chemistry complicates chemical sensor usage Sensor drift and reliability Wall reactions in reactor and downstream 11/20/00 9

10 Dynamic System Simulation Real-time sensor observations ==> system dynamics Equipment and process state Reaction model Sensor and control system dynamics Understanding and exploitation of dynamic sensor signals Sensor-IN-tool models Support for process control algorithms Model fidelity influences control efficacy Sensor signals and dynamic simulation improve model fidelity 11/20/00 10

11 Dynamic RTCVD PolySi Simulator Dynamic simulation can realistically represent complex systems, including equipment process sensors control Results validated against experiment timing/dynamics subtle systematics Numerous applications systems analysis optimization sensor-in-tool models control system design training ==> learning Platforms commercially available (Windows) Exploit rapidly growing software base Process Recipe Equipment Simulator Process Simulator Manufacturing FoM Simulator Sensors and Sensors and Control System Control System Gas Flow Vacuum chambers Mass flow controllers Pumps, valves Conductances, volumes Partial and total presssures Pressure control system Viscous/fluid flow partial pressures Sensors Total and partial pressures Temperatures Valve and MFC status Controls PID controlers for temperature and pressure Lamp power output control Throttle valve positions Manufacturing Process Efficiency Cycle time Consumables volume Energy consumption Valves, MFC s vs. time, status Lamp power vs. time Overall process timing, conditions Heat Flow Wafer absorptivity, emissivity Wafer thermal mass Wafer radiation, conduction Wafer temperature Temperature control system Process-dependent absorptivity, emissivity Convective heat loss in fluid flow temperatures CVD Reaction Gas phase transport Boundary layer transport Surface-condition-dependent reaction rates - surface kinetics rates Wafer State Deposition rate Film thickness Thickness control system Product properties - uniformity, conformality, material quality, topography, reliability Environmental Assessment Gaseous emissions Reactant utilization Power consumption Solid waste 11/20/00 11

12 Dynamic RTCVD PolySi Simulator Visual Solutions, Inc. Film Film Thickness (A) (A) QMS Partial Pressures Ar, Ar, SiH SiH 4, 4, H 2 2 wafer T (oc) (oc) growth rate rate (A/sx10) Multi-level Structure Second Level Compound Block 11/20/00 12

13 Wafer State Thickness Metrology Experiment and Dynamic Simulation SiH 4(g) Si (s) 2 H 2(g) Integrated H 2 product signal used for real-time, in-line thickness metrology 300 sccm 10% SiH 4 /Ar Dynamic simulation vs. Experiment Integrated H 2 Mass Spec Signal (Reaction Product) 8.0x x x x10-9 Reaction Product Measured In Situ Correlates With Film Thickness D s(h 2 )dt PolySi FilmThickness (A) (Nanometrics) PolySi Thickness (A) - Simulated PolySi Thickness (A) - Actual 11/20/00 13

14 Flow Rate Dependence of Mass Spec Sensor Signal Mass spec sensitive to reactor flow rate at constant pressure Dynamic simulator captures flow rate dependence Sensor is influenced by process dynamics Consequences: minor if fixed process recipe tractable for varying recipes with simulator available System dynamics introduces complexity in sensor response H2 QMS Signal (amp.) 2.5x x x10 1.0x x10-11 Mass spec H 2 signal during polysi RTCVD at 750 o C, 5.0 torr SiH 4 /Ar for 40 sec o o o 500 sccm 1000 sccm Experimental Simulation 200 sccm Sensor-in in-tool model not just a sensor model TIME (sec.) 11/20/00 14

15 Course Correction Real-time sensors to drive process control Run-to-run and real-time control Feedback, feedforward Regulatory control systems already in broad use Control algorithms require models Empirical to sophisticated Controller I/O s Control to single metric or utility function o Rate, thickness, uniformity, vertical profile, material properties, Control by single (or multiple) control variables o Pressure, flow, power, temperature, System drifts (and noise) can be substantial in chemical processes Sensor drifts and wall reactions Premium on robust control algorithms o Less sensitive to sensor noise and model error 11/20/00 15

16 Run-to-Run Process Drift Process drift moves metrology targets gradually off-center Centering drift has strong adverse consequences for yield Often, drift results from systematic drift mechanism in equipment/process ==> compensation possible 2.0x10-10 Relative Abundance 1.5x x x % SiH 4 /Ar, 5 torr, 300 sccm 650 o C, 30 sec process cycles H 2 Ar/ Ar 2 Utilize run-to-run feedback control to retune process so that subsequent wafers show correct metrology targets Substantial benefit in run-to-run control Corresponding benefits in realtime feedback control More difficult implementation Integrated H 2 mass spec (product) signal x x x x x x Time (sec) 0 systematic drift ("aging") statistical variation PolySi RTCVD Wafer Number Actual Thickness 11/20/00 16

17 Models for Use in Control Algorithms Response surface models (RSM s) Empirical or theoretical/analytical Structured design-of-experiments using experiment or simulation Neural network models Dynamic models Appropriate or required where process dynamics important (cf. steady-state description) Numerous variations Linear, nonlinear, exponentiallyweighted moving average (EWMA) Models are imperfect, especially in an environment of process adjustments and tuning as necessitated by Process integration and yield optimization Technology evolution Equipment evolution Data noise Robust control algorithms which add value even in the presence of Model error Data noise 11/20/00 17

18 Fault Management Fault detection, classification, prognosis, and response major benefits in overall equipment effectiveness Early benefit in faults with obvious origin E.g., oxygen (or air) impurity in process ambient Strong industry trend Multiple sensor signals required (in most cases) Confirm genuine fault and determine origin In all but the trivial cases, classification and prognosis are a significant challenge 11/20/00 18

19 Equipment Fault Temperature Control System 1.2x10-7 Pyrometry-based process control Mass spec sensitivity to reaction rate H 2 Partial Pressure (Arb. Units) 1.0x x x x10-8 temperature control system re-calibration: before after 5 torr 10% SiH 4 /Ar 300 sccm 650 o C, 35s temperature overshoot ~50 o C 2.0x Time (sec) 11/20/00 19

20 The Big Questions in Fault Management Does something appear wrong? Detect a possible fault from a sensor signal (equipment, process, or wafer state) Is something wrong? Use multi-sensor integration to verify a system fault I.e., confirm that the sensor isn t broken Identify the system parameter which the sensors indicate is wrong Detection Classification What is wrong? Determine what the system problem is by inference from sensor indicators I.e., the cause of the problem What is going to go wrong, and when? Predict further failure events, timing, and consequences Prognosis What should I do about it, and when? Generate action plan optimized for factory operations and product performance Response 11/20/00 20

21 Multi-Sensor Integration and Control Integration of multiple sensor signals LabView/PC integration Crucial for fault classification Decision support W CVD Reactor Central wafer handler W CVD Reactor Load lock Ulvac ERA-1000 W CVD cluster tool Process and wafer state: mass spec chemical sensing Brooks controller (future) Ulvac controller mass spec Pump system x j (t) PC LabView Equipment state: valve status, pressures, flows, temperatures,... 11/20/00 21

22 Approaches to Fault Classification Statistical correlation Mathematical engines (e.g., Triant Modelware n-th nearest neighbor) Identify patterns in sensor data Associate them with normal behavior or fault events Attribute fault patterns to physical/causal origins Continuously enhance fault pattern database Physical identification Identify physical origin of important faults (Pareto analysis) Determine sensor signatures useful for fault detection and classification Implement fault-specific detection/trapping algorithms Mixtures of statistical and physical approaches 11/20/00 22

23 Intentionally Introduced Faults MFC shutdown sticky MFC Ar Experimental mass spec signals provide distinctive patterns for different fault scenarios H 2 SiH x N Time (sec) pressure controller failure -10 Ar H 2 SiH x N Time (sec) wrong MFC set point Ar -10 Ar -10 N 2 SiH x H 2-11 SiH x H 2 N Time (sec) Time (sec) 11/20/00 23

24 Induced Fault: sticky MFC Consequences: Thickness variation Equipment malfunction detected Add tl sensors required for fault classification Experiment Experiment Relative Abundance 2.5x x x x x Avg Thickness 951A Ar close MFC slowly SiH x open MFC suddenly H 2 process ended N 2 Simulation Simulation Time (sec) close MFC slowly Relative Abundance 1.0x x x x x10-7 Ar open MFC suddenly SiH x Thickness ~1000A H 2 (x5) Time (sec) 11/20/00 24

25 Education and Training for APC graphical user interface (GUI) for simulator time-base strip chart recorder simulation parameters pop-up control bar guidance window display options pop-up 11/20/00 25

26 Integrated H2 Signal 3.00E E E E E E-010 0E000 Fault detection (early H2 signal) , 30 Ar APC Elements in RTCVD PolySi Thickness Control TEMP ( o C), TIME (sec) 625, , , , , , , 5 675, , 5 625, , , , , , , , , , , PolySi Thickness (A) - Actual 1.5x x x10-11 Run-to-run course correction (signals look fine) 2.0x , 25 Ar SiH x (x5) H 2 (x4) Time (sec) Sensor-in-tool model (recipe change to to low flow rate) , 15 Ar H 2 (x4) -10 SiH x (x5) H 2 (x4) SiH x (x5) Time (sec) Time (sec) 11/20/00 26

27 Manual (advisory) control Architecture for Advanced Process Control Automatic (committed) control Equipment Controller TOOL Equipment State Sensors Course Correction Wafer State Sensors Process State Sensors Sensor Signals End Point Determination Run-to-run Control Real-time Control Fault Management Emergency Shutdown Early Preventive Maintenance Sensor Signal Preprocessors Signal Integration / Database Signal Processing Fault Validation Experiments and Simulations Physically-Based Models and Simulators Experimental Sensor Signals: Metrology Indicators NormalProcess Signatures Diagnosed Fault History Fault Analysis Fault Classification Fault Prognosis Known-Fault Signatures and Metrology Database Reliability Database System Knowledge Base 11/20/00 27

28 Conclusions Mass spectrometry and other chemical sensors provide significant capability toward process metrology and fault detection prime issues: sensor stability, uniformity measurement Advanced process control means both course correction to maintain process target centering and yield fault management to optimize equipment utilization and manufacturing cost Achieving the promise of advanced process control requires other important elements as well, including multi-sensor integration (equipment, process, and wafer state) dynamic simulation, including sensor-in-tool models robust course correction algorithms fault classification and prognosis strategies 11/20/00 28

29 11/20/00 29

30 Building a Reference Database for Fault Detection and Classification Actual equipment fault experiences provide valuable but limited database Intentionally induced faults could significantly enhance the value of a fault database Multiple fault scenarios are known to be important Experiments can be constructed to introduce or mimic those faults Physically-based simulations of faults could provide insight and additional database elements 11/20/00 30

31 Steps: 1). Thickness was measured at 0, 1, 2, 3, 4cm from the center. 2). Thickness at the edge (5 cm) was extrapolated form the first five points. 3). The average thickness in each ring is the average between the thickness at the ID and that at the OD. e 4). The volume of the film in each ring is the product of the average thickness and d the surface area of the ring. 5). The average thickness across the whole wafer equals the sum of the film volumes in each ring divided by the total surface area. Contribution of each ring to the total surface area: Ring a: 4% Ring b: 12% Ring c: 20% Ring d: 28% Ring e: 36% Calculation of Average Film Thickness Average film thickness across the wafer: d = 4d a 0.12d b 0.20d c 0.28d d 0.36d e Validate mass spec thickness metrology under nonuniform deposition process conditions c b a 4 Wafer cm 11/20/00 31

32 The potential of mass spectrometry and other chemical sensing approaches to determine dynamic chemical constituency through a reactive semiconductor process cycle holds substantial promise for process control and attendant manufacturing benefits. Applications to mainline VLSI processes have already demonstrated value in supplying metrology information (rates, deposition thickness, etch endpointing) and in revealing process mechanisms and equipment subtleties, information which significantly complements the existing raison-d'etre for RGA's in manufacturing, namely, equipment qualification and debugging. However, the benefits of advanced process control will require other elements. Use of sensor signals for metrology depends on having good sensor models, which in turn often means good models for the sensor IN the tool. Real-time or run-to-run control necessitates either good models or robust algorithms which are less sensitive to model or data error. Fault management - i.e., fault classification and prognosis as well as detection - requires multi-sensor integration including equipment state signals for decision support to minimize down-time, along with dynamic models for interpreting system behavior. This talk will provide examples for mass spec based metrology and process insight, along with an outline of how sensor information might lead to beneficial control and fault management responses. 11/20/00 32

33 Advanced Process Control (APC) Characterisics Sensor-driven Model-based Integrated Key elements Exception detection Fault validation Course correction end point run-to-run real-time Fault management early preventive maintenance emergency stop Fault learning through sensors fault diagnosis process model development Control models & algorithms Real-time Run-to-run Course Correction COURSE CORRECTION Real-time sensor signals Real-time regulatory control Real-Time Experiment Real-time comparison Exception Distinguish system vs. sensor fault Fault Detection Fault database Fault classification Fault prognosis Fault Management NO ACTION Process Control Response Expected sensor signals Experimental history Physics-based dynamic simulators Sources for Analysis Intelligent databases Model-based decision tools Systems Tools SHUTDOWN & REPAIR - preventive maintenance -emergency stop 11/20/00 33

34 1.0x10-11 Mass Spec Sensing of SiO 2 RTCVD 2% SiH 4 / N 2 O Time Temperature 1.0x10 SiH 2N O SiO (s) 2N (g) 2H (g) SiH 2N O SiO (s) 2N (g) 2H (g) x % SiH /N O o C 5 Torr 8.0x Torr SiH /N O mixture sec process time QMS H 2 Signal (amp.) 6.0x x sec 30 sec 45 sec 60 sec 75 sec QMS H 2 Signal (amp.) 6.0x x % 800 o C 2% 775 o C 2.0x x % 750 o C 1% 800 o C TIME (sec) TIME (sec) % SiH 4 1.0x10-11 SiH 4 2N 2 O SiO 2 (s) 2N 2 (g) 2H 2 (g) 8.0x Torr SiH /N O mixture sec process time QMS H 2 Signal (amp.) 6.0x x x % 800 o C 1% 800 o C 0.5% 800 o C TIME (sec) 11/20/00 34

35 Mass Spec Sensing of Pulsed-Gas Selective Si Deposition by PECVD Modulated Silane Flow in H 2 Can Result in Selective Si PECVD 10-6 SiH 4 ON SiH 4 OFF Observed Mass Spec Signal Pressure, torr SiH 2 (amu 30) Etching SiH 4 pulsed in H 2 SiH 4 on/off = 20s/50s Dep. Plasma On G. N. Parsons et. al Plasma Off SiH 4 pulsed in H 2 SiH 4 on/off = 20s/50s Time, min 11/20/00 35

36 Mass Spec Sensing of SiO 2 RTCVD 2% SiH 4 / N 2 O H 2 reaction product observed from SiH 4 decomposition ==> dominant process chemistry is 3.0x x % SiH 4 /N 2 O 800 o C 5 Torr 60 sec N 2 (mass 28) SiH 4 2 N 2 O ==> SiO 2 (s) 2 N 2 (g) 2 H 2 (g) [e.g., not SiH 4 4 N 2 O ==> SiO 2 (s) 4 N 2 (g) 2 H 2 O (g)] Experimental improvements in s/n ==> useful reaction product sensing Reaction simplicity ==> metrology from Si component of SiO 2 unit QMS Signal (amp.) 2.0x x10-11 Ar 2 (mass 20) 1.0x x10-12 H 2 (mass 2) SiH (mass 31) TIME (sec) 11/20/00 36

37 Equipment Fault Pressure Control System 4.0x x x10-10 normal Ar trend 5torrprocess Capacitance manometer based pressure feedback Mass spec sensitivity to pressure oscillations Relative Abundance Relative Abundance 2.5x x x x x x x x x x x x Time (sec) abnormal Ar trend 0.5 torr process pressure feed back system fault 5.0x Time (sec) 11/20/00 37

38 Induced Fault: wrong MFC set point Consequences: Experiment No significant variation in thickness Decreased throughput Equipment failure anticipated Relative Abundance 2.0x x x x10-11 Ar SiH x H Time (sec) Simulation Relative Abundance 1.0x x x x x sccm Ar SiH x 250 sccm H 2 (x5) Time (sec) 11/20/00 38

39 Induced Fault: pressure controller failure Experiment Experiment throttle valve position fixed process stop Avg. Thickness 1284A Consequences: Significant thickness increase Throughput substantially decreased Equipment failure detected Relative Abundance 6.0x x x10-10 Ar SiH x H 2 N 2 Simulation Simulation Time (sec) 2.0x10-6 Relative Abundance 1.5x x x10-7 Ar SiH x H Time (sec) 11/20/00 39

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Laura L. Tedder, G. Brian Lu and Gary W. Rubloff laura_tedder@ucsd.edu brian_lu@ncsu.edu gary_rubloff@ncsu.edu NSF Engineering

More information

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Oct 25-29, 1999, AVS National Symposium, Seattle Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Y. Xu, T. Gougousi, N. Gupta, J. N. Kidder, Jr.,

More information

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Guangquan Lu, a) Laura L. Tedder, b) and Gary W. Rubloff c) NSF Engineering Research Center

More information

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool Insitu Sensing Using Mass Spectrometry and its Use for RunToRun Control on a WCVD Cluster Tool T. Gougousi', R. Sreenivasan2, Y. Xu1, L. HennLecordier', G. W. Rubloff', J. N. Kidder, Jr.', and E. Zafiriou2

More information

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control Soon Cho, Laurent Henn-Lecordier, Yijun Liu, and Gary W. Rubloff

More information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Ramaswamy Sreenivasan Institute for Systems Research and Department of Chemical Engineering, University of Maryland,

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing L. Henn-Lecordier, a) J. N. Kidder, Jr., and G. W. Rubloff Department of Materials and Nuclear Engineering

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor L. Henn-Lecordier, J. N. Kidder, Jr., and G. W. Rubloff a) Department of Materials

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

Spatially Controllable CVD: The Programmable Reactor Concept

Spatially Controllable CVD: The Programmable Reactor Concept Spatially Controllable CVD: The Programmable Reactor Concept Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier,

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

Real-time sensing and metrology for atomic layer deposition processes and manufacturing Real-time sensing and metrology for atomic layer deposition processes and manufacturing Laurent Henn-Lecordier, Wei Lei, a Mariano Anderle, b and Gary W. Rubloff c Department of Materials Science and Engineering,

More information

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

I. INTRODUCTION. Current address: Intel Corporation, electronic mail: In situ chemical sensing in AlGaN/ GaN metal organic chemical vapor deposition process for precision film thickness metrology and real-time advanced process control Soon Cho, a Daniel S. Janiak, and Gary

More information

A New Approach to Spatially Controllable CVD

A New Approach to Spatially Controllable CVD A New Approach to Spatially Controllable CVD Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier, and Joann

More information

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Jae-Ouk Choo a,b, Raymond A. Adomaitis a,b, Gary W. Rubloff b,c, Laurent Henn-Lecordier c and

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process PH.D. THESIS Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process by Yiheng Xu Advisor: Gary W. Rubloff PhD 2001-2 I R INSTITUTE FOR SYSTEMS RESEARCH

More information

Catalysis CAPABILITIES

Catalysis   CAPABILITIES Catalysis www.extrel.com CAPABILITIES Contents Extrel instruments have been recognized for their exceptional performance by the world s leading researchers for more than 50 years. Reliability and flexibility

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing

A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing International Journal of Computational Intelligence Systems, Vol. 7, Supplement 2 (July 2014), 66-73 A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing

More information

The Vacuum Sorption Solution

The Vacuum Sorption Solution The Total Sorption Solution The Vacuum Sorption Solution The Vacuum Sorption Solution www.thesorptionsolution.com About the Technique DVS Vacuum - the only gravimetric system that supports static and dynamic

More information

Extrel is widely respected for the quality of mass spectrometer systems that are

Extrel is widely respected for the quality of mass spectrometer systems that are Extrel is widely respected for the quality of mass spectrometer systems that are available to the world's top research scientists. In response to increasing requests for complete turn-key systems built

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis Hiden SIMS Secondary Ion Mass Spectrometers Analysers for surface, elemental and molecular analysis vacuum analysis surface science plasma diagnostics gas analysis SIMS Versatility SIMS is a high sensitivity

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson October 31st, 2013 Acknowledgments Jason Kyle Anderson for his help in getting the system to work

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Recommended Courses by ECE Topic Area Graduate Students

Recommended Courses by ECE Topic Area Graduate Students Recommended s by ECE Topic Area Graduate Students The course recommendations below do not represent full plans of study. The courses listed under each heading represent appropriate courses to take if you

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Monitoring Emulsion Polymerization by Raman Spectroscopy

Monitoring Emulsion Polymerization by Raman Spectroscopy An Executive Summary Monitoring Emulsion Polymerization by Raman Spectroscopy Why process analytical matters to process development R&D. Serena Stephenson, PhD Senior R&D Analytical Manager Kishori Deshpande,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Thermal Flow Sensor Modeling Using Electronic Circuit Simulator

Thermal Flow Sensor Modeling Using Electronic Circuit Simulator Thermal Flow Sensor Modeling Using Electronic Circuit Simulator 1. Introduction. Alexei Smirnov Sidelinesoft, nl5@sidelinesoft.com Computational fluid dynamics (CFD) modeling becomes more and more popular

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

GT-POWER linearization and engine advanced control design applications

GT-POWER linearization and engine advanced control design applications GT-POWER linearization and engine advanced control design applications Kenny Follen Ali Borhan Ed Hodzen Cummins Inc. North American GT Conference 2016 November 14-15, 2016 Michigan, USA Outline Background

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

MECHANICAL ENGINEERING (ME)

MECHANICAL ENGINEERING (ME) Mechanical Engineering (ME) 1 MECHANICAL ENGINEERING (ME) ME 206. Mechanics II: Dynamics Prerequisite(s): ENGR 102 and CEE 205. Description: Study of motions and forces in engineering systems. Kinematics

More information

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract

Plasma Chamber. Fortgeschrittenes Praktikum I. Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot. Abstract Plasma Chamber Fortgeschrittenes Praktikum I Supervisors: Baran Eren, Dr. Marco Wisse, Dr. Laurent Marot Abstract The aims of this experiment are to be familiar with a vacuum chamber, to understand what

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Advanced Adaptive Control for Unintended System Behavior

Advanced Adaptive Control for Unintended System Behavior Advanced Adaptive Control for Unintended System Behavior Dr. Chengyu Cao Mechanical Engineering University of Connecticut ccao@engr.uconn.edu jtang@engr.uconn.edu Outline Part I: Challenges: Unintended

More information

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials Thermo Scientific ICP-MS solutions for the semiconductor industry Maximize wafer yields with ultralow elemental detection in chemicals and materials Trace impurity analysis in chemicals an used in the

More information

Agilent MassHunter Profinder: Solving the Challenge of Isotopologue Extraction for Qualitative Flux Analysis

Agilent MassHunter Profinder: Solving the Challenge of Isotopologue Extraction for Qualitative Flux Analysis Agilent MassHunter Profinder: Solving the Challenge of Isotopologue Extraction for Qualitative Flux Analysis Technical Overview Introduction Metabolomics studies measure the relative abundance of metabolites

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Virtual Sensor Technology for Process Optimization. Edward Wilson Neural Applications Corporation

Virtual Sensor Technology for Process Optimization. Edward Wilson Neural Applications Corporation Virtual Sensor Technology for Process Optimization Edward Wilson Neural Applications Corporation ewilson@neural.com Virtual Sensor (VS) Also known as soft sensor, smart sensor, estimator, etc. Used in

More information

Independent Component Analysis for Redundant Sensor Validation

Independent Component Analysis for Redundant Sensor Validation Independent Component Analysis for Redundant Sensor Validation Jun Ding, J. Wesley Hines, Brandon Rasmussen The University of Tennessee Nuclear Engineering Department Knoxville, TN 37996-2300 E-mail: hines2@utk.edu

More information

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.4, AUGUST, 213 http://dx.doi.org/1.73/jsts.213.13.4.39 Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Real-time observation and optimization of tungsten atomic layer deposition process cycle Real-time observation and optimization of tungsten atomic layer deposition process cycle Wei Lei, Laurent Henn-Lecordier, Mariano Anderle, a and Gary W. Rubloff b Department of Materials Science and Engineering

More information

A Holistic Approach to the Application of Model Predictive Control to Batch Reactors

A Holistic Approach to the Application of Model Predictive Control to Batch Reactors A Holistic Approach to the Application of Model Predictive Control to Batch Reactors A Singh*, P.G.R de Villiers**, P Rambalee***, G Gous J de Klerk, G Humphries * Lead Process Control Engineer, Anglo

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

CALIBRATION. Calibration. General Principles & Theory, Equipment Considerations. Copyright Caltech 2014

CALIBRATION. Calibration. General Principles & Theory, Equipment Considerations. Copyright Caltech 2014 Calibration General Principles & Theory, Equipment Considerations Metrological Principles Accurate but not Precise Calibration A A+ Correction = Precise but not Accurate Correction B Ref Std (Radio Link

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

CBE495 LECTURE IV MODEL PREDICTIVE CONTROL

CBE495 LECTURE IV MODEL PREDICTIVE CONTROL What is Model Predictive Control (MPC)? CBE495 LECTURE IV MODEL PREDICTIVE CONTROL Professor Dae Ryook Yang Fall 2013 Dept. of Chemical and Biological Engineering Korea University * Some parts are from

More information

Hiden HPR60 Molecular Beam Mass Spectrometer System

Hiden HPR60 Molecular Beam Mass Spectrometer System Hiden HPR60 Molecular Beam Mass Spectrometer System vacuum analysis surface science plasma diagnostics gas analysis HPR60 MBMS Overview The Hiden HPR60 Molecular Beam Mass Spectrometer (MBMS) is a compact,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Feed-Forward Control for Advanced Chemical Blending. Gary Anderson, Klaus Wolke Tres-Ark, Inc. Austin, TX

Feed-Forward Control for Advanced Chemical Blending. Gary Anderson, Klaus Wolke Tres-Ark, Inc. Austin, TX Feed-Forward Control for Advanced Chemical Blending Gary Anderson, Klaus Wolke Tres-Ark, Inc. Austin, TX The Challenge Electrical Section Critical cleaning steps require better control and stability of

More information

Perseverance. Experimentation. Knowledge.

Perseverance. Experimentation. Knowledge. 2410 Intuition. Perseverance. Experimentation. Knowledge. All are critical elements of the formula leading to breakthroughs in chemical development. Today s process chemists face increasing pressure to

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Agilent 7500a Inductively Coupled Plasma Mass Spectrometer (ICP-MS)

Agilent 7500a Inductively Coupled Plasma Mass Spectrometer (ICP-MS) www.ietltd.com Proudly serving laboratories worldwide since 1979 CALL +1.847.913.0777 for Refurbished & Certified Lab Equipment Agilent 7500a Inductively Coupled Plasma Mass Spectrometer (ICP-MS) The Agilent

More information

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer

ICP-3000 Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer Inductively Coupled Plasma Optical Emission Spectrometer is powerful simultaneous full

More information

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 2, April 2005, p. 665-670 THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION M. Girtan,

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

Reliability Analysis of Hydraulic Steering System with DICLFL Considering Shutdown Correlation Based on GO Methodology

Reliability Analysis of Hydraulic Steering System with DICLFL Considering Shutdown Correlation Based on GO Methodology 2015 ICRSE&PHM-Beijing Reliability Analysis of Hydraulic Steering System with DICLFL Considering Shutdown Correlation Based on GO Methodology YI Xiaojian, SHI Jian, MU Huina, DONG Haiping, GUO Shaowei

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Using 11.7eV PID Lamps To Accurately Measure VOCs With Ionization Energy Above 10.6eV

Using 11.7eV PID Lamps To Accurately Measure VOCs With Ionization Energy Above 10.6eV Using 11.7eV PID Lamps To Accurately Measure VOCs With Ionization Energy Above 10.6eV Summary Honeywell RAE Systems strongly recommends using 100 ppm propane as calibration gas for instruments with 11.7eV

More information

Automation of the radiochemical procedures for the sequential separation of radionuclides

Automation of the radiochemical procedures for the sequential separation of radionuclides LSC2017 - An International Conference on Advances in Liquid Scintillation Spectrometry, Copenhagen Denmark, 1 5 May 2017 Automation of the radiochemical procedures for the sequential separation of radionuclides

More information

Process Analytical Technology Diagnosis, Optimization and Monitoring of Chemical Processes

Process Analytical Technology Diagnosis, Optimization and Monitoring of Chemical Processes FRAUNHOFER INSTITUTe FoR Chemical Technology ICT Process Analytical Technology Diagnosis, Optimization and Monitoring of Chemical Processes Process Analytical Technology Diagnosis, Optimization and Monitoring

More information

An XML-Based Approach to Integrating Semiconductor Process Information

An XML-Based Approach to Integrating Semiconductor Process Information An XML-Based Approach to Integrating Semiconductor Process Information Jing Chen and Raymond A. Adomaitis Department of Chemical Engineering and ISR University of Maryland College Park, MD 20742 Support:

More information

Exploration COMSOL in Modeling RLSA TM CVD Processes

Exploration COMSOL in Modeling RLSA TM CVD Processes Exploration COMSOL in Modeling RLSA TM CVD Processes Ar+H 2 +SiH 4 +C 2 H 6 and Dopant Gas Jozef Brcka 1 *, Sundar Gandhi 2, Raymond Joe 2 1 Tokyo Electron U.S. Holdings, Inc., U.S. Technology Development

More information

Magnetic Property Measurement System

Magnetic Property Measurement System Magnetic Property Measurement System Product Description Quantum Design's MPMS 3 represents the culmination of more than 3 years of development and design in the world of SQUID Magnetometry. Providing

More information

Can Assumption-Free Batch Modeling Eliminate Processing Uncertainties?

Can Assumption-Free Batch Modeling Eliminate Processing Uncertainties? Can Assumption-Free Batch Modeling Eliminate Processing Uncertainties? Can Assumption-Free Batch Modeling Eliminate Processing Uncertainties? Today, univariate control charts are used to monitor product

More information

Process Chemometrics in the Dow Chemical company. Zdravko Stefanov and Leo Chiang Analytical Technology Center The Dow Chemical Company

Process Chemometrics in the Dow Chemical company. Zdravko Stefanov and Leo Chiang Analytical Technology Center The Dow Chemical Company Process Chemometrics in the Dow Chemical company Zdravko Stefanov and Leo Chiang Analytical Technology Center The Dow Chemical Company 1 Outline Who we are and how we approach problems Process chemometrics

More information

CHAPTER 7 MODELING AND CONTROL OF SPHERICAL TANK LEVEL PROCESS 7.1 INTRODUCTION

CHAPTER 7 MODELING AND CONTROL OF SPHERICAL TANK LEVEL PROCESS 7.1 INTRODUCTION 141 CHAPTER 7 MODELING AND CONTROL OF SPHERICAL TANK LEVEL PROCESS 7.1 INTRODUCTION In most of the industrial processes like a water treatment plant, paper making industries, petrochemical industries,

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information