Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Size: px
Start display at page:

Download "Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control"

Transcription

1 Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Laura L. Tedder, G. Brian Lu and Gary W. Rubloff NSF Engineering Research Center for Advanced Electronic Materials Processing North Carolina State University Raleigh, NC fault detection & classification metrology dynamic simulation control Real-time mass spectrometry results equipment & process state fault detection & classification wafer state o thickness metrology o dynamic reaction rate Flexible manufacturing example Integration of simulation & MS sensing for process extrapolation

2 Why mass spectrometry as a real-time sensor? Already in manufacturing RGA for contamination control Generic applications virtually any process except lithography In-situ, real-time, non-invasive / non-destructive Sensitive to equipment, process and wafer state chemical & physical changes

3 Relative Abundance 2x x x x % SiH 4 /Ar, 1 slm RTP Pressure: 5 torr QMS Pressure: 1E-6 torr H 2 SiH 4 Cracking Ar 2 Fragments SiH 2 Ar Active Sampling Mass Spectrometry for PolySi RTCVD % SiH 4 /Ar Atomic Mass Unit 1 mm sampling aperture Lamps 5x10-6 torr 50 mtorr 5 torr QMS Leybold Inficon Transpector 50 l/s 50 l/s Mech. Pump Mass Spec Sensor System RTCVD tool Downstream sensing Two-stage differential pumping Rapid sensor time response (3 sec) Tedder, et al., JVST-B, 13 (4), (1995) 1924

4 Analysis of Mass Spectrometry Sensor Data 2x % SiH 4 /Ar, 1 slm Problem: Ionization of chemical species produces multiple peaks fragmentation multi-ionization Relative Abundance 2x x x10-11 RTP Pressure: 5 torr QMS Pressure: 1E-6 torr H 2 Ar 2 SiH 4 Cracking Fragments SiH 2 Ar Surface reaction products can be same species as fragments Atomic Mass Unit Solution: Identify peaks unique to chemical species e.g., AMU 30 => SiH 2 & NO AMU 31 => SiH 3 only

5 Mass Spec Monitoring of RTCVD Processes SiH 4(g) Si (s) 2 H 2(g) Gas On Lamps On Gas Off Lamps Off SiH o C H 2 Partial Pressure (arb. units) o C o C Equipment & process state sensing equipment function & control process gases Wafer state sensing H 2 production & reactant depletion metrology?

6 Equipment Fault Pressure Control System 4.0x x10-10 normal Ar trend 3.0x10-10 Relative Abundance 2.5x x x x x x x10-10 abnormal Ar trend 3.0x10-10 Relative Abundance 2.5x x x x x Capacitance manometer-based control Mass spec sensitivity to pressure oscillations

7 Equipment Fault Temperature Contol System H 2 Partial Pressure (Arb. Units) 1.2x x x x x10-8 temperature control system re-calibration: before after 5 torr 10% SiH 4 /Ar 300 sccm 650 o C, 35s temperature overshoot ~50 o C 2.0x Pyrometry-based process control Mass spec sensitivity to reaction rate

8 Time-Integrated Sensor Data for Metrology Reaction product signal (H 2 ) indicates reaction rate at wafer SiH 4 ==> Si 2 H 2 Every two H 2 product molecules sensed represents a Si atom deposited Integrate H 2 product signal through cycle to determine deposited Si thickness Signal should be fault-tolerant, i.e., insensitive to details of process recipe and control system response Use as a real-time metrology tool

9 Fault-tolerant thickness metrology Temp time torr 10% SiH 4 /Ar (300 sccm) polysi RTCVD on o 1000A thermal oxide N2 N3 N1 N4 N Temperature (oc) Nanometrics th ickness measurements => ex-situ

10 Wafer State (Thickness) Metrology SiH 4(g) Si (s) 2 H 2(g) polysi RTCVD Integrated H 2 Mass Spec Signal (Reaction Product) 8.0x x x x Reaction Product Measured In Situ Correlates With Film Thickness d = c ( y H 2 ) PolySi Film Thickness (A) (Nanometrics) o 300 sccm 10% SiH 4 /Ar Linear over crucial thickness range (< 2000A) to 10% Candidate for RTCVD metrology Non-linearities instrinsic and need to be understood Tedder, et al., JVST-B, submitted

11 Mass Spec Sensing of SiO 2 RTCVD 2% SiH 4 / N 2 O 1.0x10-10 Gas Lamps Gas Off On On Lamps Off 8.0x10-11 Relative Abundance 6.0x x x10-11 N 2 O Ar H 2 1.6x x Electron Multiplier sccm (10%SiH 4 /Ar) 300 sccm N 2 O 800 o C, C, sec Relative Abundance 1.2x x x x10-12 N 2 Ar 2 H 2 4.0x x H 2 observed as reaction product from SiH 4 reaction H 2 /H 2 O > 10 3 (=> SiH 4 rxn followed by N 2 O oxidation)

12 Flexible Manufacturing Example Goal: "Customer" needed to extrapolate 1200A RTCVD polysi process to A process not a simple matter of reducing time or temperature Simulation: Used simulator to determine process parameters for 250A polysi RTCVD, varying time and pressure Experimental validation: Immediate detection of process control limitation pressure control system calibrated for > 5 torr Sensor data raises concerns for reproducibility and statistical distribution of end product

13 Process Extrapolation 4.0x torr 10% SiH 4 /Ar 650 o C 30s A o 3.5x x10-10 spurious event pressure control system oscillation H 2 Ar Ion Gauge On Relative Abundance 2.5x x x x x torr 10% SiH 4 /Ar 640 o C 15s 500 A 4.0x10-10 H o 3.5x Ar 3.0x10-10 Mass Spec Signal 2.5x x x x x x

14 Metrology Validation & Process Extrapolation normal process: 650 o C, 5 torr, 35 sec, 1200A polysi experimental constraints: ~650 o C, ~500A equipment constraints: 300 sccm, 5 torr & >15 sec simulation result: 640 o C, 15 sec, 470A average mass spec estimate: 444A average polysi thickness (SIMS): 482A 1000 Estimated Thickness (A) In-Situ Mass Spec Estimated polysi Thickness (A, Mass Spec) polysi RTCVD runs target thickness: ~500A 640 o C, 15 sec 300 sccm 10% SiH 4 /Ar polysi Thickness (A, SIMS) Actual Thickness (A) Off-Line SIMS

15 Mass Spec Sensing Viable & valuable for equipment faults gas flow, pumps,... Useful for some process faults SiH 4 polysi => SUCCESS other process chemistries => to be determined Potential application to real-time wafer state metrology Contributes to process mechanism knowledge base many chemistries not well understood knowledge capture in physically-based simulation

16 General Conclusions Real-time mass spectrometry o o Fault detection & classification equipment functionality complex process dynamics metrology Understanding of chemistry & physics Dynamic simulation for process analysis Tool to optimize manufacturing and environment o Platform for sensor interpretation and control o Physics- and chemistry-based Integration of in-situ diagnostics & dynamic simulation Process & equipment development o o Flexible manufacturing Environmental optimization

17 Acknowledgments National Science Foundation Semiconductor Research Corporation Leybold Inficon technical assistance & β-site interaction Visual Solutions, Inc. VisSim consultations John B. Flanigan, III technical assistance John R. Hauser, Prof. Brian F. Conaghan data management Patrick Bednarz, grad student Nanometrics measurements Gregory N. Parsons, Assoc. Prof. discussion

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Guangquan Lu, a) Laura L. Tedder, b) and Gary W. Rubloff c) NSF Engineering Research Center

More information

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments G. W. Rubloff www.isr.umd.edu/~rubloff/ Institute for Systems Research and Department of Materials and Nuclear

More information

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Oct 25-29, 1999, AVS National Symposium, Seattle Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Y. Xu, T. Gougousi, N. Gupta, J. N. Kidder, Jr.,

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

Real-time sensing and metrology for atomic layer deposition processes and manufacturing Real-time sensing and metrology for atomic layer deposition processes and manufacturing Laurent Henn-Lecordier, Wei Lei, a Mariano Anderle, b and Gary W. Rubloff c Department of Materials Science and Engineering,

More information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Ramaswamy Sreenivasan Institute for Systems Research and Department of Chemical Engineering, University of Maryland,

More information

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

I. INTRODUCTION. Current address: Intel Corporation, electronic mail: In situ chemical sensing in AlGaN/ GaN metal organic chemical vapor deposition process for precision film thickness metrology and real-time advanced process control Soon Cho, a Daniel S. Janiak, and Gary

More information

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing L. Henn-Lecordier, a) J. N. Kidder, Jr., and G. W. Rubloff Department of Materials and Nuclear Engineering

More information

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control Soon Cho, Laurent Henn-Lecordier, Yijun Liu, and Gary W. Rubloff

More information

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor L. Henn-Lecordier, J. N. Kidder, Jr., and G. W. Rubloff a) Department of Materials

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

Review of investigation of influence of operational parameters on metrological characteristics of QMS within EMRP IND12 project

Review of investigation of influence of operational parameters on metrological characteristics of QMS within EMRP IND12 project Review of investigation of influence of operational parameters on metrological characteristics of QMS within EMRP IND12 project Janez Setina Institute of Metals and Technology, (IMT), Slovenia 1 Some operational

More information

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool Insitu Sensing Using Mass Spectrometry and its Use for RunToRun Control on a WCVD Cluster Tool T. Gougousi', R. Sreenivasan2, Y. Xu1, L. HennLecordier', G. W. Rubloff', J. N. Kidder, Jr.', and E. Zafiriou2

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Real-time observation and optimization of tungsten atomic layer deposition process cycle Real-time observation and optimization of tungsten atomic layer deposition process cycle Wei Lei, Laurent Henn-Lecordier, Mariano Anderle, a and Gary W. Rubloff b Department of Materials Science and Engineering

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy N. Dietz, D.J. Stephens, G. Lucovsky and K.J. Bachmann North Carolina State University, Raleigh,

More information

ABSTRACT. This thesis describes the development of a real-time control system for depositing

ABSTRACT. This thesis describes the development of a real-time control system for depositing ABSTRACT Smith, Robert Merrill. Real-Time Control of Polysilicon Deposition in Single-Wafer Rapid Thermal Chemical Vapor Deposition Furnaces. (Under the direction of Dr. F. Yates Sorrell) This thesis describes

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

A New Approach to Spatially Controllable CVD

A New Approach to Spatially Controllable CVD A New Approach to Spatially Controllable CVD Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier, and Joann

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Catalysis CAPABILITIES

Catalysis   CAPABILITIES Catalysis www.extrel.com CAPABILITIES Contents Extrel instruments have been recognized for their exceptional performance by the world s leading researchers for more than 50 years. Reliability and flexibility

More information

Lecture 3 Vacuum Science and Technology

Lecture 3 Vacuum Science and Technology Lecture 3 Vacuum Science and Technology Chapter 3 - Wolf and Tauber 1/56 Announcements Homework will be online from noon today. This is homework 1 of 4. 25 available marks (distributed as shown). This

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

Partial Pressure Analysis for Large Vacuum Systems

Partial Pressure Analysis for Large Vacuum Systems Partial Pressure Analysis for Large Vacuum Systems Robert E. Ellefson REVac Consulting Dayton OH 45459 USA OLAV IV NSRRC Hsinchu, Taiwan 4 April, 2014 REVac Consulting E-mail: Robert.Ellefson@sbcglobal.net

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Science Drivers. Spectroscopic Sensors. In Situ Sensors. Development of autonomous and remote platforms

Science Drivers. Spectroscopic Sensors. In Situ Sensors. Development of autonomous and remote platforms Science Drivers In Situ Sensors Spectroscopic Sensors Development of autonomous and remote platforms ROVs, AUVs Cabled observatories Desire to analyze targets with discrete stability regions in the deep

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis Hiden SIMS Secondary Ion Mass Spectrometers Analysers for surface, elemental and molecular analysis vacuum analysis surface science plasma diagnostics gas analysis SIMS Versatility SIMS is a high sensitivity

More information

An XML-Based Approach to Integrating Semiconductor Process Information

An XML-Based Approach to Integrating Semiconductor Process Information An XML-Based Approach to Integrating Semiconductor Process Information Jing Chen and Raymond A. Adomaitis Department of Chemical Engineering and ISR University of Maryland College Park, MD 20742 Support:

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Autoresonant Ion Trap Mass Spectrometer The RGA Alternative

Autoresonant Ion Trap Mass Spectrometer The RGA Alternative Autoresonant Ion Trap Mass Spectrometer The GA Alternative 1 What is a Mass Spectrometer? Ionizer Mass Spectrometer Mass Separator Magnetic Sector, Quadrupole or Ion Trap Detector Partial Pressure Measurement

More information

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006 Microsc. Microanal. 12, 340 346, 2006 DOI: 10.1017/S1431927606060442 Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006 The Low Energy X-ray Spectrometry Technique as Applied to Semiconductors

More information

Spatially Controllable CVD: The Programmable Reactor Concept

Spatially Controllable CVD: The Programmable Reactor Concept Spatially Controllable CVD: The Programmable Reactor Concept Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier,

More information

Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics. Dr Hazel Assender, University of Oxford

Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics. Dr Hazel Assender, University of Oxford Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics Dr Hazel Assender, University of Oxford DALMATIAN TECHNOLOGY 21 st Sept 2010 1 Organic electronics Opportunity

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process PH.D. THESIS Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process by Yiheng Xu Advisor: Gary W. Rubloff PhD 2001-2 I R INSTITUTE FOR SYSTEMS RESEARCH

More information

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6 Lecture 6 Rapid Thermal Processing Reading: Chapter 6 (Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Jae-Ouk Choo a,b, Raymond A. Adomaitis a,b, Gary W. Rubloff b,c, Laurent Henn-Lecordier c and

More information

Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system

Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system ACTA IMEKO June 2014, Volume 3, Number 2, 48 53 www.imeko.org Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system

More information

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

MOCVD Carrier Emissivity and Temperature Uniformity Characterization Carrier emissivity and temperature maps reveal carrier micro cracks and emissivity variation that can directly affect thin-film deposition and device performance Introduction and Motivation MOCVD wafer

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 2, April 2005, p. 665-670 THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION M. Girtan,

More information

Extrel is widely respected for the quality of mass spectrometer systems that are

Extrel is widely respected for the quality of mass spectrometer systems that are Extrel is widely respected for the quality of mass spectrometer systems that are available to the world's top research scientists. In response to increasing requests for complete turn-key systems built

More information

The Claviature of Gas Analysis

The Claviature of Gas Analysis The Claviature of Gas Analysis Mass spectrometric gas analysis for quality assurance of gases and gas mixtures High purity and special gases are becoming increasingly important in the development of modern

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

HEMS Low Power Carbon Nanotube Field Emission Electron Source for Chemical Ionization Mass Spectrometry

HEMS Low Power Carbon Nanotube Field Emission Electron Source for Chemical Ionization Mass Spectrometry Low Power Carbon Nanotube Field Emission Electron Source for Chemical Ionization Mass Spectrometry HEMS 2015 Charles B. Parker, Erich J. Radauscher, Adam D. Keil, Mitch Wells, Jason J. Amsden, Jeffrey

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005 ABSTRACT Title of dissertation: DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM Jae-Ouk Choo, Doctor of Philosophy, 2005 Dissertation directed by: Professor Raymond A. Adomaitis

More information

Application note. Trace level analysis of sulfur, phosphorus, silicon and chlorine in NMP using the Agilent 8800 Triple Quadrupole ICP-MS

Application note. Trace level analysis of sulfur, phosphorus, silicon and chlorine in NMP using the Agilent 8800 Triple Quadrupole ICP-MS Trace level analysis of sulfur, phosphorus, silicon and chlorine in NMP using the Agilent 8800 Triple Quadrupole ICP-MS Application note Semiconductor Author Naoki Sugiyama Agilent Technologies, Tokyo,

More information

vacuum analysis plasma diagnostics surface science gas analysis

vacuum analysis plasma diagnostics surface science gas analysis Hiden EQP Systems High Sensitivity Mass and Energy Analysers for Monitoring, Control and Characterisation of Ions, Neutrals and Radicals in Plasma. vacuum analysis surface science gas analysis plasma diagnostics

More information

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen. Microsystems Technology Laboratories (MTL) lfvelasq@mit.edu November

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Quadrupole Mass Spectrometry Concepts. Mass spectrometers for residual gas analysis: Intermediate Level Users Guide

Quadrupole Mass Spectrometry Concepts. Mass spectrometers for residual gas analysis: Intermediate Level Users Guide Quadrupole Mass Spectrometry Concepts Mass spectrometers for residual gas analysis: Intermediate Level Users Guide What does Residual Gas Analysis allow us to do? RGA is the examination of the molecular

More information

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes e -? 2 nd FEBIP Workshop Thun, Switzerland 2008 Howard Fairbrother Johns Hopkins University Baltimore, MD, USA Outline

More information

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

Technology Brief 9: Capacitive Sensors

Technology Brief 9: Capacitive Sensors 218 TEHNOLOGY BRIEF 9: APAITIVE SENSORS Technology Brief 9: apacitive Sensors To sense is to respond to a stimulus. (See Tech Brief 7 on resistive sensors.) A capacitor can function as a sensor if the

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Quadrupole Time-of-Flight Liquid Chromatograph Mass Spectrometer LCMS-9030 C146-E365

Quadrupole Time-of-Flight Liquid Chromatograph Mass Spectrometer LCMS-9030 C146-E365 Quadrupole Time-of-Flight Liquid Chromatograph Mass Spectrometer LCMS-9030 C146-E365 Effortless Performance The LCMS-9030 quadrupole time-of-flight (Q-TOF) mass spectrometer integrates the world s fastest

More information

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota Semiconductor Nanocrystals from Nonthermal Plasmas Rebecca J. Anthony University of Minnesota 1 Nanocrystals in devices efficient light emitters and absorbers versatile deposition schemes possibility for

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information

FIB - SIMS. Focussed Ion Beam Secondary Ion Mass Spectrometry.

FIB - SIMS. Focussed Ion Beam Secondary Ion Mass Spectrometry. FIB - SIMS Focussed Ion Beam Secondary Ion Mass Spectrometry Outline Introduction to Hiden Analytical Introduction to SIMS FIB-SIMS - Introduction and key features FIB-SIMS - Applications data Hiden SIMS

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

TPRS with MATLAB and quantitative mass spec review. Cassandra Siler

TPRS with MATLAB and quantitative mass spec review. Cassandra Siler TPRS with MATLAB and quantitative mass spec review Cassandra Siler 8.12.16 Hiden Triple Filter QMS: a basic schematic ionization chamber post-filter detector pre-filter quadrupole mass filter incoming

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.4, AUGUST, 213 http://dx.doi.org/1.73/jsts.213.13.4.39 Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification

More information

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes EE 434 Lecture 12 Process Flow (wrap up) Device Modeling in Semiconductor Processes Quiz 6 How have process engineers configured a process to assure that the thickness of the gate oxide for the p-channel

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

In situ Studies of ALD Processes & Reaction Mechanisms

In situ Studies of ALD Processes & Reaction Mechanisms In situ Studies of ALD Processes & Reaction Mechanisms Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp This tutorial presentation will give (1) an overview of methods for in situ studies of ALD processes

More information

Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY

Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY Ken.wright@inficon.com Outline Quick Review of PPA(RGA) Operation Instrumentation

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Film growth precursors in a remote SiH/sub 4/ plasma used for high-rate deposition of hydrogenated amorphous silicon Kessels, W.M.M.; van de Sanden, M.C.M.; Schram, D.C. Published in: Journal of Vacuum

More information

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct Supplementary Information Rapid Stencil Mask Fabrication Enabled One-Step Polymer-Free Graphene Patterning and Direct Transfer for Flexible Graphene Devices Keong Yong 1,, Ali Ashraf 1,, Pilgyu Kang 1,

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

MEASUREMENT CAPABILITIES OF X-RAY FLUORESCENCE FOR BPSG FILMS

MEASUREMENT CAPABILITIES OF X-RAY FLUORESCENCE FOR BPSG FILMS , MEASUREMENT CAPABILITIES OF X-RAY FLUORESCENCE FOR BPSG FILMS K.O. Goyal, J.W. Westphal Semiconductor Equipment Group Watkins-Johnson Company Scotts Valley, California 95066 Abstract Deposition of borophosphosilicate

More information

Behavior of Three Types of Plasma Sources for Optical Coating

Behavior of Three Types of Plasma Sources for Optical Coating Behavior of Three Types of Plasma Sources for Optical Coating Ronald R. Willey Willey Optical, Consultants, 13039 Cedar Street, Charlevoix, MI 49720, USA Ph 231-237-9392, ron@willeyoptical.com ABSTRACT

More information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma Effects of cross field diffusion in a low pressure high density oxygen/silane plasma C. Charles Citation: Journal of Vacuum Science & Technology A 20, 1275 (2002); doi: 10.1116/1.1481042 View online: http://dx.doi.org/10.1116/1.1481042

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2014 Supporting Information Sustainable photocatalytic production of hydrogen

More information