Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Size: px
Start display at page:

Download "Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems"

Transcription

1 Oct 25-29, 1999, AVS National Symposium, Seattle Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Y. Xu, T. Gougousi, N. Gupta, J. N. Kidder, Jr., and G. W. Rubloff

2 Why Real-Time Chemical Sensing? Provides a wealth of useful information regarding the time evolution of the process and reaction mechanism Provides the basis to achieve real-time thin film thickness metrology, and process control. Enables simultaneous fault management. 2

3 Chemical Sensing Issues in Multi-component Chemical Process Challenges in chemical sensing Sensor choice (Mass Spectrometer, FTIR, Acoustic, etc) Sensor placement (downstream or direct sampling) Selection of appropriate species for metrology development. Wall Chemistry Reactions Reagent condensation Sensor Chemistry Reactions in the ionizer region of the mass spectrometer 3

4 Multi-Component Chemical Process: W CVD from / Selective W CVD process Dynamic equipment and process* simulation Sensor study Chemical sensing and film thickness metrology in W CVD process WF + H W + 6 HF * Based on Hsieh s model J. J. Hsieh, "Kinetic model for the chemical vapor deposition of tungsten in the silane reduction process", J. Vac. Sci. Technol. A 11 (6), pp , (Nov/Dec 1993). 4

5 Multi-Component Chemical Process: W CVD from / Chemical Sensing using mass spectrometry in W CVD on Ulvac ERA-100 P=0.5 Torr BP 300µ orifice 60µ orifice 30µ orifice P=10-6 Torr QMS Pressure control valve Reactor exhaust Baratron Ion gauge to drag stage Turbo pump 50 l/s WF + H W + 6 HF

6 Process Issues for Metrology Our Process Ulvac ERA-100 selective W CVD Selective process at pressure less than 1 Torr Initially, less than 1% reactant conversion rate ( and ) Simulations indicated better reactant utilization at low flow rates: Industry standard NOVELLUS, AMAT blanket W CVD Blanket process at pressure of about 40 Torr About 50% reactant conversion rate Conversion rate (%) : 200 sccm Temp. :400 0 C Pres. : 0.5 Torr Simulation results Low / ratio required for conformal film deposition / flow rate ratio 5X flow rate reduction Conversion rate (%) : 40 sccm Temp. :400 0 C Pres. : 0.5 Torr Simulation results Low / ratio required for conformal film deposition / flow rate ratio 6

7 Selection of Species for Mass Spectrometry-based Metrology Product generation : HF generation Significant background due to reaction in ionizer of the mass spectrometry Reactant depletion : depletion and/or depletion Significant background due to low conversion rate WF + H W + 6 HF

8 Multiple Reaction Regions in WCVD process from / Process reaction Sensor (ionizer)reactions Example + 3 W + 6HF 6HF + e 6HF + + 2e + e WF 5+ + F +2e + e + + 2e F + H + 2 HF + + H Hot wafer in Reactor WF H 6 2 Mass Spec. HF HF Cold wafer in Reactor WF H 6 2 Mass Spec. HF 8

9 Cold wafer cycle to calibrate background and sensor drift H2 40sccm 40sccm 40sccm WF6 0sccm 10sccm 0sccm Pressure 0.5Torr 0.5Torr 0.5Torr Temperature. Ion current for (Amp) 8.00E E E E-011 HF 40sccm 200sccm 10sccm 0sccm 0.5Torr 0.5Torr Step 1 Step 2 Step 3 Step 4 Step 5 flush Cold wafer cycle Heating Hot wafer cycle depletion HF generation Cooling 1.20E E E E-012 Ion current for HF(Amp) 0.00E E Time(sec.) 9

10 Mass Spectrometry-based deposition rate metrology 2.5E-10 Conditioning cycle 1st wafer 2nd wafer 3rd wafer 1.2E-11 Recipe Temp.:400 0 C Pres. :0.5 Torr : 40sccm : 10sccm Ion current for (Amp) 2E E-10 1E-10 HF Cold Hot Cold Hot Cold C Hot D 9E-12 6E-12 3E-12 Ion current for HF(Amp) 5E-11 A B Time(Sec.) 0 Film Thickness B-A A * Dep. time Film Thickness C-D C Dep. * time 10

11 Mass Spectrometry-based deposition rate metrology Metrology from HF Signal 3000 W film thickness (A) Thickness=537.6(+/- 20.1)* S (HF) (+/- 46.6) R 2 =0.95 SD=127A Initial nucleation of W seed layer by Si reduction of HF signal * Dep. Time (Min.) 11

12 Mass Spectrometry-based deposition rate metrology Metrology from Signal 3000 W film thickness (A) Thickness= 5719(+/- 477)S H2 +471(+/- 90) R 2 =0.79 SD=260A 500 Initial nucleation of W seed layer by Si reduction of signal * Dep. Time (Min.) 12

13 Conclusions and Acknowledgment In multi-component CVD process, different species could be chosen for metrology. Reactant depletion (, ) Product generation (HF) Mass spectrometry-based thickness metrology has been demonstrated About 6-7% accuracy from HF signal Expected to be better for higher conversion rates blanket W CVD process (industry standard) Cold wafer cycle implemented for metrology Calibrate sensor drift, measure background In-situ sensor calibration system under development-results promising Conditioning cycle before actual deposition process reduced the wall effects. Acknowledgments: NIST/Dr. Charles Tilford Leybold Inficon/Dr. Bob Ellefson, Dr. Louis Frees NSF SRC/TI 13

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing L. Henn-Lecordier, a) J. N. Kidder, Jr., and G. W. Rubloff Department of Materials and Nuclear Engineering

More information

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool Insitu Sensing Using Mass Spectrometry and its Use for RunToRun Control on a WCVD Cluster Tool T. Gougousi', R. Sreenivasan2, Y. Xu1, L. HennLecordier', G. W. Rubloff', J. N. Kidder, Jr.', and E. Zafiriou2

More information

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Laura L. Tedder, G. Brian Lu and Gary W. Rubloff laura_tedder@ucsd.edu brian_lu@ncsu.edu gary_rubloff@ncsu.edu NSF Engineering

More information

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control Soon Cho, Laurent Henn-Lecordier, Yijun Liu, and Gary W. Rubloff

More information

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments G. W. Rubloff www.isr.umd.edu/~rubloff/ Institute for Systems Research and Department of Materials and Nuclear

More information

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Guangquan Lu, a) Laura L. Tedder, b) and Gary W. Rubloff c) NSF Engineering Research Center

More information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Ramaswamy Sreenivasan Institute for Systems Research and Department of Chemical Engineering, University of Maryland,

More information

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor L. Henn-Lecordier, J. N. Kidder, Jr., and G. W. Rubloff a) Department of Materials

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

Real-time sensing and metrology for atomic layer deposition processes and manufacturing Real-time sensing and metrology for atomic layer deposition processes and manufacturing Laurent Henn-Lecordier, Wei Lei, a Mariano Anderle, b and Gary W. Rubloff c Department of Materials Science and Engineering,

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

I. INTRODUCTION. Current address: Intel Corporation, electronic mail: In situ chemical sensing in AlGaN/ GaN metal organic chemical vapor deposition process for precision film thickness metrology and real-time advanced process control Soon Cho, a Daniel S. Janiak, and Gary

More information

Spatially Controllable CVD: The Programmable Reactor Concept

Spatially Controllable CVD: The Programmable Reactor Concept Spatially Controllable CVD: The Programmable Reactor Concept Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier,

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Real-time observation and optimization of tungsten atomic layer deposition process cycle Real-time observation and optimization of tungsten atomic layer deposition process cycle Wei Lei, Laurent Henn-Lecordier, Mariano Anderle, a and Gary W. Rubloff b Department of Materials Science and Engineering

More information

A New Approach to Spatially Controllable CVD

A New Approach to Spatially Controllable CVD A New Approach to Spatially Controllable CVD Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier, and Joann

More information

Vacuum Technology and film growth. Diffusion Resistor

Vacuum Technology and film growth. Diffusion Resistor Vacuum Technology and film growth Poly Gate pmos Polycrystaline Silicon Source Gate p-channel Metal-Oxide-Semiconductor (MOSFET) Drain polysilicon n-si ion-implanted Diffusion Resistor Poly Si Resistor

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular Vacuum Technology Vacuum Pumps Two general classes exist: Gas transfer physical removal of matter Mechanical, diffusion, turbomolecular Adsorption entrapment of matter Cryo, sublimation, ion Mechanical

More information

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005 ABSTRACT Title of dissertation: DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM Jae-Ouk Choo, Doctor of Philosophy, 2005 Dissertation directed by: Professor Raymond A. Adomaitis

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Jae-Ouk Choo a,b, Raymond A. Adomaitis a,b, Gary W. Rubloff b,c, Laurent Henn-Lecordier c and

More information

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson October 31st, 2013 Acknowledgments Jason Kyle Anderson for his help in getting the system to work

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process PH.D. THESIS Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process by Yiheng Xu Advisor: Gary W. Rubloff PhD 2001-2 I R INSTITUTE FOR SYSTEMS RESEARCH

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

An XML-Based Approach to Integrating Semiconductor Process Information

An XML-Based Approach to Integrating Semiconductor Process Information An XML-Based Approach to Integrating Semiconductor Process Information Jing Chen and Raymond A. Adomaitis Department of Chemical Engineering and ISR University of Maryland College Park, MD 20742 Support:

More information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma Effects of cross field diffusion in a low pressure high density oxygen/silane plasma C. Charles Citation: Journal of Vacuum Science & Technology A 20, 1275 (2002); doi: 10.1116/1.1481042 View online: http://dx.doi.org/10.1116/1.1481042

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Spatially controllable chemical vapor deposition

Spatially controllable chemical vapor deposition Spatially controllable chemical vapor deposition Jae-Ouk Choo and Raymond A. Adomaitis 1 Department of Chemical Engineering and Institute for Systems Research University of Maryland College Park, MD 20742

More information

In situ Studies of ALD Processes & Reaction Mechanisms

In situ Studies of ALD Processes & Reaction Mechanisms In situ Studies of ALD Processes & Reaction Mechanisms Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp This tutorial presentation will give (1) an overview of methods for in situ studies of ALD processes

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system

Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system ACTA IMEKO June 2014, Volume 3, Number 2, 48 53 www.imeko.org Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system

More information

VACUUM PUMPING METHODS

VACUUM PUMPING METHODS VACUUM PUMPING METHODS VACUUM PUMPS (METHODS) Positive Displacement Vacuum Gas Transfer Vacuum Kinetic Vacuum Entrapment Vacuum Adsorption Reciprocating Displacement Rotary Drag Fluid Entrainment Ion Transfer

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Physical Vapor Deposition

Physical Vapor Deposition Physical Vapor Deposition EVAPORATION SPUTTERING Typically used for metallization of semiconductors. Both Evaporation & Sputtering are done in vacuum environments. Typically: y Evaporation Pressures are

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA *

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA * STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA * V. TIRON 1, L. MIHAESCU 1, C.P. LUNGU 2 and G. POPA 1 1 Faculty of Physics, Al. I. Cuza University, 700506, Iasi, Romania 2 National Institute

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY

Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY Ken.wright@inficon.com Outline Quick Review of PPA(RGA) Operation Instrumentation

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD)

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD) SEMICONDUCTOR GROWTH TECHNIQUES Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD) Growth Processes Bulk techniques (massive semiconductors, wafers): Si, compounds

More information

( KS A ) (1) , vapour, vapor (USA) , saturation vapour pressure. , standard reference conditions for gases. , degree of saturation

( KS A ) (1) , vapour, vapor (USA) , saturation vapour pressure. , standard reference conditions for gases. , degree of saturation ( KS A 3014-91 ) (1), standard reference conditions for gases 0, 101325 Pa (1 =760mmHg ), vacuum, low ( rough ) vacuum 100Pa, medium vacuum 100 01 Pa, high vacuum 01 10 5 Pa, ultra high vacuum ( UHV )

More information

KEYWORDS plasma enhanced chemical vapor deposition, dusty plasma, particulate contamination, film growth rate

KEYWORDS plasma enhanced chemical vapor deposition, dusty plasma, particulate contamination, film growth rate Evaluation of Dust Particle Properties and Particulate Contamination in a PECVD Reactor by Visualization Measurements On-line Number 474 Yutaka Hayashi, Manabu Shimada and Kikuo Okuyama Department of Chemical

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures JARA FIT Ferienprakticum Nanoelektronik 2013 Experiment: Resonant tunneling in quantum structures Dr. Mihail Ion Lepsa, Peter Grünberg Institut (PGI 9), Forschungszentrum Jülich GmbH 1. Introduction The

More information

Hisayoshi Oshima *, Yoshinobu Suzuki, Tomohiro Shimazu, and Shigeo Maruyama 1

Hisayoshi Oshima *, Yoshinobu Suzuki, Tomohiro Shimazu, and Shigeo Maruyama 1 Novel and Simple Synthesis Method for Submillimeter Long Vertically Aligned Single-Walled Carbon Nanotubes by No-Flow Alcohol Catalytic Chemical Vapor Deposition Hisayoshi Oshima *, Yoshinobu Suzuki, Tomohiro

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

THIN FILM GROWTH by PECVD

THIN FILM GROWTH by PECVD THIN FILM GROWTH by PECVD This manual consists of four sections: section 1 and 2 provide a brief introduction to chemical vapour deposition and plasma technique respectively, section 3 gives the detailed

More information

Determination of Minimum Detectable Partial Pressure (MDPP) of QMS and its Uncertainty

Determination of Minimum Detectable Partial Pressure (MDPP) of QMS and its Uncertainty Workshop on Towards more reliable partial and outgassing rate measurements Berlin, Germany, January 30 - February 1, 2017 Determination of Minimum Detectable Partial Pressure (MDPP) of QMS and its Uncertainty

More information

Lecture 4. Ultrahigh Vacuum Science and Technology

Lecture 4. Ultrahigh Vacuum Science and Technology Lecture 4 Ultrahigh Vacuum Science and Technology Why do we need UHV? 1 Atmosphere = 760 torr; 1 torr = 133 Pa; N ~ 2.5 10 19 molecules/cm 3 Hertz-Knudsen equation p ZW 1/ 2 ( 2mk T) At p = 10-6 Torr it

More information

Effect of wall charging on an oxygen plasma created in a helicon diffusion reactor used for silica deposition

Effect of wall charging on an oxygen plasma created in a helicon diffusion reactor used for silica deposition Effect of wall charging on an oxygen plasma created in a helicon diffusion reactor used for silica deposition C. Charles a) and R. W. Boswell Plasma Research Laboratory, Research School of Physical Sciences

More information

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Section Micro and Nano Technologies RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Assoc. Prof. Ersin Kayahan 1,2,3 1 Kocaeli University, Electro-optic and Sys. Eng. Umuttepe, 41380, Kocaeli-Turkey

More information

RF Reactive Magnetron Sputter Depostion of Silicon Sub-oxides

RF Reactive Magnetron Sputter Depostion of Silicon Sub-oxides 2 Experimental 2.1 Introduction In this chapter the experimental techniques of deposition and characterization are briefly described. The relevant parts of the deposition system are: the vacuum vessel,

More information

Study of DC Cylindrical Magnetron by Langmuir Probe

Study of DC Cylindrical Magnetron by Langmuir Probe WDS'2 Proceedings of Contributed Papers, Part II, 76 8, 22. ISBN 978-737825 MATFYZPRESS Study of DC Cylindrical Magnetron by Langmuir Probe A. Kolpaková, P. Kudrna, and M. Tichý Charles University Prague,

More information

ABSTRACT. This thesis describes the development of a real-time control system for depositing

ABSTRACT. This thesis describes the development of a real-time control system for depositing ABSTRACT Smith, Robert Merrill. Real-Time Control of Polysilicon Deposition in Single-Wafer Rapid Thermal Chemical Vapor Deposition Furnaces. (Under the direction of Dr. F. Yates Sorrell) This thesis describes

More information

Conductance measurement of a conical tube and calculation of the pressure distribution

Conductance measurement of a conical tube and calculation of the pressure distribution Conductance measurement of a conical tube and calculation of the pressure distribution B. Mercier a Laboratoire de l Accélérateur Linéaire (LAL), Université Paris-Sud, Batiment 200, BP34, 9898 Orsay Cedex,

More information

Lecture 3 Vacuum Science and Technology

Lecture 3 Vacuum Science and Technology Lecture 3 Vacuum Science and Technology Chapter 3 - Wolf and Tauber 1/56 Announcements Homework will be online from noon today. This is homework 1 of 4. 25 available marks (distributed as shown). This

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Chemistry Joke. Once you ve seen 6.02 x You ve seen a mole!

Chemistry Joke. Once you ve seen 6.02 x You ve seen a mole! States of Matter Chemistry Joke Once you ve seen 6.02 x 10 23 atoms You ve seen a mole! Kinetic Theory Kinetic Theory explains the states of matter based on the concept that the particles in all forms

More information

Replication Of MHI Transmutation Experiment By D 2 Gas Permeation Through Pd Complex

Replication Of MHI Transmutation Experiment By D 2 Gas Permeation Through Pd Complex Higashiyama, T., et al. Replication Of MHI Transmutation Experiment By D2 Gas Permeation Through Pd Complex. in Tenth International Conference on Cold Fusion. 2003. Cambridge, MA: LENR-CANR.org. This paper

More information

Ahybrid approach combining experimental and simulation studies was used for model development. Several sets of experiments were conducted to investiga

Ahybrid approach combining experimental and simulation studies was used for model development. Several sets of experiments were conducted to investiga ABSTRACT Title of Dissertation: MODELING AND SIMULATION OF A TUNGSTEN CHEMICAL VAPOR DEPOSITION REACTOR Hsiao-Yung Chang, Doctor of Philosophy, 2000 Dissertation directed by: Assistant Professor Raymond

More information

- A spark is passed through the Argon in the presence of the RF field of the coil to initiate the plasma

- A spark is passed through the Argon in the presence of the RF field of the coil to initiate the plasma THE PLASMA Inductively Coupled Plasma Mass Spectrometry (ICP-MS) What is a Plasma? - The magnetic field created by a RF (radio frequency) coil produces a current within a stream of Argon (Ar) gas, which

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

RGA modelling and simulation to include pressure dependence in the ion source

RGA modelling and simulation to include pressure dependence in the ion source RGA modelling and simulation to include pressure dependence in the ion source Jeyan Sreekumar, Boris Brkic, Tom Hogan and Steve Taylor Mass Spectrometry Group Department of Electrical Engineering and Electronics

More information

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum Previous Lecture Electron beam lithoghraphy e - Electrons are generated in vacuum Electron beams propagate in vacuum Lecture 6: Vacuum & plasmas Objectives From this vacuum lecture you will learn: What

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF Revised J. Chem. Phys. Manuscript No. AMLP16.08.0222 December 5, 2016 Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum

More information

Molecular beam mass spectrometry studies of the gas-phase chemistry occurring during microwave plasma assisted chemical vapour deposition of diamond

Molecular beam mass spectrometry studies of the gas-phase chemistry occurring during microwave plasma assisted chemical vapour deposition of diamond Diamond and Related Materials 8 (1999) 1377 138 www.elsevier.com/locate/diamond Molecular beam mass spectrometry studies of the gas-phase chemistry occurring during microwave plasma assisted chemical vapour

More information

Surface and Optical Properties of Zinc Oxide Doped With Fluor Synthesized By Magnetron Sputtering: Applications in Transparent Conductive Oxides (TCO)

Surface and Optical Properties of Zinc Oxide Doped With Fluor Synthesized By Magnetron Sputtering: Applications in Transparent Conductive Oxides (TCO) Int. J. Thin. Fil. Sci. Tec. 4, No. 3, 205-210 (2015) 205 International Journal of Thin Films Science and Technology http://dx.doi.org/10.12785/ijtfst/040308 Surface and Optical Properties of Zinc Oxide

More information

Characterization of the operation of RITs with iodine

Characterization of the operation of RITs with iodine Characterization of the operation of RITs with iodine IEPC-2017-368 Presented at the 35th International Electric Propulsion Conference Georgia Institute of Technology Atlanta, Georgia USA Waldemar Gärtner

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb O.D. DUBON, P.G. EVANS, J.F. CHERVINSKY, F. SPAEPEN, M.J. AZIZ, and J.A. GOLOVCHENKO Division of Engineering and Applied Sciences,

More information

Vocabulary. Pressure Absolute zero Charles Law Boyle s Law (take a moment to look up and record definitions in your notes)

Vocabulary. Pressure Absolute zero Charles Law Boyle s Law (take a moment to look up and record definitions in your notes) The Gas Laws Vocabulary Pressure Absolute zero Charles Law Boyle s Law (take a moment to look up and record definitions in your notes) Key Concepts What causes gas pressure in a closed container? What

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Validation of a new flow-reactor for the study of secondary organic aerosol (SOA) formation

Validation of a new flow-reactor for the study of secondary organic aerosol (SOA) formation Validation of a new flow-reactor for the study of secondary organic aerosol (SOA) formation M. Duncianu*(1,2), V. Riffault (1,2), A. Tomas (1,2), P. Coddeville (1,2) (1) Université Lille Nord de France,

More information

Vacuum techniques (down to 1 K)

Vacuum techniques (down to 1 K) Vacuum techniques (down to 1 K) For isolation (deep Knudsen regime) liquid helium dewar / inner vacuum jacket Leak testing at level 10-11 Pa m3/s (10-10 mbar l/s) liquid helium dewar & transfer syphon

More information

Generation of vacuum (pumps): Vacuum (pressure) measurements:

Generation of vacuum (pumps): Vacuum (pressure) measurements: Generation of vacuum (pumps) p and measurements Generation of vacuum (pumps): ᴥ pumping p systems general considerations on their use and match with physical quantities introduced for the dimensioning

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

ARGUS VI. Static Vacuum Mass Spectrometer. Static Vacuum ARGUS VI. Multicollection Low Volume Precision

ARGUS VI. Static Vacuum Mass Spectrometer. Static Vacuum ARGUS VI. Multicollection Low Volume Precision ARGUS VI Static Vacuum Mass Spectrometer Static Vacuum ARGUS VI Multicollection Low Volume Precision Based on more than 20 years of experience in noble gas mass spectrometry instrumentation, we have developed

More information

Lecture 1: Vapour Growth Techniques

Lecture 1: Vapour Growth Techniques PH3EC2 Vapour Growth and Epitaxial Growth Lecturer: Dr. Shinoj V K Lecture 1: Vapour Growth Techniques 1.1 Vapour growth The growth of single crystal materials from the vapour phase. Deposition from the

More information