Real-Time Chemical Sensing for Advanced Process Control in ALD

Size: px
Start display at page:

Download "Real-Time Chemical Sensing for Advanced Process Control in ALD"

Transcription

1 Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science and Engineering, and Minta Martin Professor of Engineering, Department of Materials Science & Engineering, Institute for Systems Research, and Institute for Research in Electronics and Applied Physics, rubloff@umd.edu 2 Department of Materials Science & Engineering and Institute for Systems Research 3 Department of Materials Science & Engineering and Institute for Systems Research. Current address: Novellus Systems

2 Delivering Value from ALD Research Real-Time Chemical Sensing ALD Process Dynamics ALD Metrology Advanced Process Control Development Manufacturing 2

3 Atomic Layer Deposition a simple picture an ideal process Initial surface Metal precursor exposure Purge BUT Nucleation & surface condition dependence OH OH OH SUBSTRATE L L L M L L L M L L L L M L OH OH O LH L L L L M L L M L L M L O O O Temperature-dependent growth Dose dependencies Monolayer growth OH M OH OH O M O M O O O Purge H 2 O LH H 2 O H 2 O OH OH L OH M O M L L M L O O O Reactant B exposure Incomplete layer adsorption & reaction Multilayer adsorption & reaction 3

4 Moving ALD to Manufacturing Nucleation & surface condition dependence Temperature-dependent growth Dose dependencies Incomplete layer adsorption & reaction Multilayer adsorption & reaction Advanced process control (APC) required for manufacturability Course correction Fault management Sensors needed for APC Process chemistry Wafer state Sensors needed for process learning Chemical complexity Process metrology 4

5 Real-Time Chemical Sensing Real-time mass spectrometry (MS) for wafer-scale ALD process Direct observation of process dynamics Integrated MS signals cycleby-cycle Integrated MS signals through entire run Comparison with ex-situ film characterization Process recipe optimization Nucleation & growth kinetics Thickness metrology & control Process-film properties correlation & prediction 5

6 Embedded ALD Mini-Reactor Z-axis pneumatic actuator UHV chamber 10-5 torr Moveable cap 5 torr 100 mm wafer Substrate heater Differentially pumped MS 35 µm orifice Gas Outlet Gas Inlet ALD mini- reactor 6

7 ALD System and Process Sensing 300 amu CIS mass-spec 100 mm wafer, substrate-heated UHV ALD reactor 35 µm orifice 5 Torr Load-lock UHV-ALD 1.2x x10-10 Differential pumping Gas Outlet Gas Inlet Intensity (A) 8.0x x x10-11 MS in-situ sensing (10 ALD cycles) Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec 2.0x :59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time 7

8 ALD Process Dynamics 1.2x x CYCLES x10-11 Intensity (A) 6.0x x x :59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time 6.0x x10-11 SiH 4 exposure H 2 1 CYCLE Purge WF 6 exposure Purge Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec Intensity (A) 4.0x x x x10-11 SiF 4 SiH 4 SiF Time (Sec) 8

9 Optimizing ALD Process Recipe Intensity (A) Intensity (A) 7.0x x x x x x x x x x x x10-11 SiH 4 exposure SiH H 2 SiH 4 Purge Time (Sec) WF 6 exposure SiF 4 Purge Reference 60 C Process 325 C Surface reaction time Intensity (A) Reactants 6.0x x x10-11 H 2 H 2 SiH 4 SiF 4 WF 6 By-products Process recipe optimization SiH 4 Purge WF 6 SiF 4 Purge 1.0x Time (Sec) Time (s) 9

10 Validation by Ex-Situ, Post-Process Process Characterization 2.0 Gas flow direction Exposure (s) SiH 4 / WF 6 Gas Inlet Gas Outlet Film thickness (μm) Precursor depletion 15 / 20 s 10 / 8 s * 8 / 6 s 5 / 4 s Ex-situ 4 point probe measurements Position Across the Wafer (mm) 10

11 ALD Metrology Real-time metrology: integrate product signals over each pulse 1.2x10-10 Identify pulse-to-pulse trends nucleation kinetics 1.0x10-10 Intensity (A) 8.0x x x10-11 Integrate signal over all pulses total deposition thickness 2.0x :59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time 10 CYCLES

12 Real-Time Growth Kinetics 4.0x10-10 Nucleation regime Linear growth regime 1.2x10-9 Nucleation regime Linear growth regime Integrated SiF 4 Signal Per Cycle 3.5x x x x x x x10-11 SiF 4 by-product Integrated H 2 MS Signal Per Cycle 1.0x x x x x10-10 H 2 by-product Cycle Number Cycle Number Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec 12

13 Validation by Ex-Situ, Post-Process Process Characterization Integrated H 2 QMS Signal Per Cycle (A*Sec) Integrated MS signals over 1 ALD run 1.2x x x x x x pt probe thicknesses over 6 ALD runs ALD cycle number Film Thickness (nm) 13

14 Initial Surface Condition Nucleation region for HF-last treated surface Nucleation region for H 2 O-last treated surface Integrated SiF 4 MS Signal Per Cycle 6.4x x x x x x x x10-11 HF Treated Surface H 2 O Treated Surface Cycle Number 14

15 Temperature Dependence Nucleation and growth both increase with temperature Integrated H 2 Mass Spec Signal Per Cycle 2.5x x x x x C 250 C 175 C H 2 during SiH 4 half cycle Cycle Number 15

16 Temperature Dependence Ex-situ SIMS results Intensity (A) 4.0x x x x x10-11 SiH 4 Exposure H 2 signal 175 Purge Scan Number WF 6 Exposure SiF 4 signal Purge CsW Counts Integrated SiF 4 Signal Per Cycle 4x10 4 3x10 4 2x10 4 1x10 4 3* * MS Depth (nm) /T (K -1 ) SIMS Growth Rate (A/Cycle) 1 16

17 Real-time Wafer State Metrology W Film Thickness (nm) consecutive wafers (225 C) 1st wfr (175 C) (175 C) (325 C) (275 C) 8.0x x x x x10-8 Sum of Integrated SiF 4 Signal W Film Thickness (nm) (275 C) st wfr (275 C) 0 2.0x x x10-8 Sum of Integrated SiF 4 Signal (325 C) Without pre-process chamber treatment With pre-process chamber treatment 1 st wafer effect associated with surrounding walls of mini-reactor 17

18 Origin of 1 st Wafer Effect 1.2x nd wafer Gas Inlet Gas Outlet QMS sampling Integrated H 2 Signal Per Cycle 9.0x x x st wafer H 2 during SiH 4 half-cycle Cycle Number Product signals for 1 st wafer include nucleation on wafer and surrounding environment Product signals for subsequent wafers correspond to nucleation only on wafer 18

19 Precursor Dose Interactions 2.0x10-10 SiH 4 Exposure H 2 signal Purge WF 6 Exposure SiF 4 signal Purge WF 6 : 5 sccm H 2 signal 1.5x10-10 SiF 4 signal Intensity (A) 1.0x10-10 Increase WF 6 concentration WF 6 : 1 sccm H 2 signal SiF 4 signal 5.0x10-11 Increase WF 6 concentration Scan Number WF 6 exposure influences SiH 4 exposure Precursor exposure interactions are important in ALD (imperfect self-limiting behavior) Higher concentration higher reaction rate shorter reaction time 19

20 Combinatorial CVD Spatially programmable showerhead gas delivery enables combinatorial chemical vapor deposition 20

21 Combinatorial ALD Numerous process recipe permutations in real-world ALD Precursor dose interactions Ternary (& higher) materials systems Sr precursor Ti precursor H 2 O oxidant 21

22 Conclusions Challenges for ALD manufacturing: Deviations from perfect layer-by-layer growth Dependence on reactant dose, temperature, surface condition Diversity and complexity of materials systems and applications Real-time chemical sensing provides direct insight into ALD process mechanisms and dynamics. Sensors can be employed for process optimization, process and wafer state metrology, and advanced process control for manufacturing. Real-time sensors and combinatorial strategies may be essential in managing the complex subtleties of atomic layer deposition 22

23 Acknowledgements Support Students Wei Lei, Laurent Henn-Lecordier Erin Robertson, Rama Sreenivasan Faculty Ray Adomaitis 23

24 Real-Time Chemical Sensing for Advanced Process Control in ALD Self-limiting surface chemistry endows atomic layer deposition (ALD) with exceptional benefits, from atomic-level control to unprecedented conformality and uniformity in chemically deposited thin films. However, the transition into mainstream manufacturing imposes new demands for advanced process control, and in turn integrated sensors to achieve that control. We have explored these questions using several sensor techniques, particularly downstream quadrupole mass spectrometry (QMS), QCM, and FTIR within the context of a wafer-scale reactor serving as a manufacturing prototype. Realtime signals reveal the dynamical phenomena during ALD half-cycles and surface chemistry on the wafer, including dose interactions indicating imperfect self-limiting reaction, temperature dependence, and depletion. Metrology derived from dynamic sensor signatures provides rapid observation of nucleation kinetics. Dynamic chemical sensing is thus poised to enable ALD manufacturability and process control. To facilitate ALD process development for complex materials (ternaries, etc.), we are also pursuing combinatorial methods for ALD as an extension of our combinatorial CVD research. 24

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Oct 25-29, 1999, AVS National Symposium, Seattle Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Y. Xu, T. Gougousi, N. Gupta, J. N. Kidder, Jr.,

More information

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

Real-time sensing and metrology for atomic layer deposition processes and manufacturing Real-time sensing and metrology for atomic layer deposition processes and manufacturing Laurent Henn-Lecordier, Wei Lei, a Mariano Anderle, b and Gary W. Rubloff c Department of Materials Science and Engineering,

More information

A New Approach to Spatially Controllable CVD

A New Approach to Spatially Controllable CVD A New Approach to Spatially Controllable CVD Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier, and Joann

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Spatially Controllable CVD: The Programmable Reactor Concept

Spatially Controllable CVD: The Programmable Reactor Concept Spatially Controllable CVD: The Programmable Reactor Concept Raymond A. Adomaitis and Jae-Ouk Choo Department of Chemical Engineering and Institute for Systems Research Gary W. Rubloff, Laurent Henn-Lecordier,

More information

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control Soon Cho, Laurent Henn-Lecordier, Yijun Liu, and Gary W. Rubloff

More information

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Laura L. Tedder, G. Brian Lu and Gary W. Rubloff laura_tedder@ucsd.edu brian_lu@ncsu.edu gary_rubloff@ncsu.edu NSF Engineering

More information

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Real-time observation and optimization of tungsten atomic layer deposition process cycle Real-time observation and optimization of tungsten atomic layer deposition process cycle Wei Lei, Laurent Henn-Lecordier, Mariano Anderle, a and Gary W. Rubloff b Department of Materials Science and Engineering

More information

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System Jae-Ouk Choo a,b, Raymond A. Adomaitis a,b, Gary W. Rubloff b,c, Laurent Henn-Lecordier c and

More information

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor L. Henn-Lecordier, J. N. Kidder, Jr., and G. W. Rubloff a) Department of Materials

More information

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments G. W. Rubloff www.isr.umd.edu/~rubloff/ Institute for Systems Research and Department of Materials and Nuclear

More information

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing L. Henn-Lecordier, a) J. N. Kidder, Jr., and G. W. Rubloff Department of Materials and Nuclear Engineering

More information

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Guangquan Lu, a) Laura L. Tedder, b) and Gary W. Rubloff c) NSF Engineering Research Center

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

In situ Studies of ALD Processes & Reaction Mechanisms

In situ Studies of ALD Processes & Reaction Mechanisms In situ Studies of ALD Processes & Reaction Mechanisms Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp This tutorial presentation will give (1) an overview of methods for in situ studies of ALD processes

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

I. INTRODUCTION. Current address: Intel Corporation, electronic mail: In situ chemical sensing in AlGaN/ GaN metal organic chemical vapor deposition process for precision film thickness metrology and real-time advanced process control Soon Cho, a Daniel S. Janiak, and Gary

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool Insitu Sensing Using Mass Spectrometry and its Use for RunToRun Control on a WCVD Cluster Tool T. Gougousi', R. Sreenivasan2, Y. Xu1, L. HennLecordier', G. W. Rubloff', J. N. Kidder, Jr.', and E. Zafiriou2

More information

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005 ABSTRACT Title of dissertation: DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM Jae-Ouk Choo, Doctor of Philosophy, 2005 Dissertation directed by: Professor Raymond A. Adomaitis

More information

This is an author-deposited version published in : Eprints ID : 19671

This is an author-deposited version published in :   Eprints ID : 19671 Open Archive TOULOUSE Archive Ouverte (OATAO) OATAO is an open access repository that collects the work of Toulouse researchers and makes it freely available over the web where possible. This is an author-deposited

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Supporting Information

Supporting Information Supporting Information Yao et al. 10.1073/pnas.1416368111 Fig. S1. In situ LEEM imaging of graphene growth via chemical vapor deposition (CVD) on Pt(111). The growth of graphene on Pt(111) via a CVD process

More information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Ramaswamy Sreenivasan Institute for Systems Research and Department of Chemical Engineering, University of Maryland,

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

An XML-Based Approach to Integrating Semiconductor Process Information

An XML-Based Approach to Integrating Semiconductor Process Information An XML-Based Approach to Integrating Semiconductor Process Information Jing Chen and Raymond A. Adomaitis Department of Chemical Engineering and ISR University of Maryland College Park, MD 20742 Support:

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes

Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes Milano (Italy) August 8 - September, Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes Raymond A. Adomaitis Department of Chemical and Biomolecular Engineering,

More information

Micro Chemical Vapor Deposition System: Design and Verification

Micro Chemical Vapor Deposition System: Design and Verification Micro Chemical Vapor Deposition System: Design and Verification Q. Zhou and L. Lin Berkeley Sensor and Actuator Center, Department of Mechanical Engineering, University of California, Berkeley 2009 IEEE

More information

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process PH.D. THESIS Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process by Yiheng Xu Advisor: Gary W. Rubloff PhD 2001-2 I R INSTITUTE FOR SYSTEMS RESEARCH

More information

Spatially controllable chemical vapor deposition

Spatially controllable chemical vapor deposition Spatially controllable chemical vapor deposition Jae-Ouk Choo and Raymond A. Adomaitis 1 Department of Chemical Engineering and Institute for Systems Research University of Maryland College Park, MD 20742

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes e -? 2 nd FEBIP Workshop Thun, Switzerland 2008 Howard Fairbrother Johns Hopkins University Baltimore, MD, USA Outline

More information

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors. Jusang Park * Hyungjun Kim School of Electrical and Electronics Engineering, Yonsei University, 262 Seongsanno,

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson October 31st, 2013 Acknowledgments Jason Kyle Anderson for his help in getting the system to work

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Atomic Layer Deposition: An Overview

Atomic Layer Deposition: An Overview Chem. Rev. 2010, 110, 111 131 111 Atomic Layer Deposition: An Overview Steven M. George* Department of Chemistry and Biochemistry and Department of Chemical and Biological Engineering, University of Colorado,

More information

Reaction Mechanism of Area-Selective Atomic

Reaction Mechanism of Area-Selective Atomic Supporting Information Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2 O 3 Nanopatterns Seunggi Seo 1, Il-Kwon Oh 1, Byung Chul Yeo 1, 2, Sang Soo Han 2, Chang Mo Yoon 1, JOON YOUNG

More information

Size-selected Metal Cluster Deposition on Oxide Surfaces: Impact Dynamics and Supported Cluster Chemistry

Size-selected Metal Cluster Deposition on Oxide Surfaces: Impact Dynamics and Supported Cluster Chemistry Size-selected Metal Cluster Deposition on Oxide Surfaces: Impact Dynamics and Supported Cluster Chemistry Sungsik Lee, Masato Aizawa, Chaoyang Fan, Tianpin Wu, and Scott L. Anderson Support: AFOSR, DOE

More information

(Near-) Ambient pressure x-ray photoelectron spectroscopy

(Near-) Ambient pressure x-ray photoelectron spectroscopy (Near-) Ambient pressure x-ray photoelectron spectroscopy Joachim Schnadt Division of Synchrotron Radiation Research, Department of Physics, Lund University & MAX IV Laboratory, Lund University Outline

More information

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane DOI: 10.1038/NMAT2384 Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane Stefan Vajda, Larry A. Curtiss, Peter Zapol et al. Center for

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Hiden HPR60 Molecular Beam Mass Spectrometer System

Hiden HPR60 Molecular Beam Mass Spectrometer System Hiden HPR60 Molecular Beam Mass Spectrometer System vacuum analysis surface science plasma diagnostics gas analysis HPR60 MBMS Overview The Hiden HPR60 Molecular Beam Mass Spectrometer (MBMS) is a compact,

More information

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis Outline Chemical Microsystems Applications Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis Fundamentals of Micromachining Dr. Bruce Gale With Special

More information

On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis

On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis Eindhoven University of Technology MASTER On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis Vervuurt, R.H.J. Award date: 2012 Link to

More information

Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices

Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices Jiyeon Kim, a Tomi Iivonen, b Jani Hämäläinen, b Marianna Kemell, b Kristoffer

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

ALD Nucleation and Area-Selective Deposition

ALD Nucleation and Area-Selective Deposition ALD Nucleation and Area-Selective Deposition Prof Gregory N. Parsons Department of Chemical and Biomolecular Engineering North Carolina State University Raleigh North Carolina USA 1 Outline 1. Nucleation

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Catalysis CAPABILITIES

Catalysis   CAPABILITIES Catalysis www.extrel.com CAPABILITIES Contents Extrel instruments have been recognized for their exceptional performance by the world s leading researchers for more than 50 years. Reliability and flexibility

More information

Supplementary Material for

Supplementary Material for www.sciencemag.org/cgi/content/full/science.aac6368/dc1 Supplementary Material for Identification of active sites in CO oxidation and water-gas shift over supported Pt catalysts Kunlun Ding, Ahmet Gulec,

More information

SIMPLE MCP TRANSPORT MODEL

SIMPLE MCP TRANSPORT MODEL SIMPLE MCP TRANSPORT MODEL ANGEL YANGUAS-GIL 1. Desorption kinetics in a cylindrical pore in presence of physisorption After the end of a dose, pores in a substrate are ideally saturated with a constant

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition 495 10.1149/1.2986806 The Electrochemical Society Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition Shotaro Takeuchi, Ngoc Duy Nguyen, Frederik Leys,

More information

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy Eindhoven University of Technology MASTER Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy Keijmel, J. Award date: 2008 Link to publication Disclaimer This

More information

Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD) Reactor

Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD) Reactor Processes 2013, 1, 128-152; doi:10.3390/pr1020128 PEN ACCESS processes ISSN 2227-9717 www.mdpi.com/journal/processes Article Dynamic odeling for the Design and Cyclic peration of an Atomic Layer Deposition

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Hiden Isochema. Gravimetric Gas & Vapor Sorption Analyzers. Hiden Isochema IGA Series. Advancing Sorption Analysis

Hiden Isochema.   Gravimetric Gas & Vapor Sorption Analyzers. Hiden Isochema IGA Series. Advancing Sorption Analysis Technical Specifications The IGA-1 is designed for gravimetric mixed gas sorption, as well as single component vapor sorption analysis, and powerfully combines the features of the IGA-1, IGA-2 and IGA-3.

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Effects of methanol on crystallization of water in the deeply super cooled region

Effects of methanol on crystallization of water in the deeply super cooled region Effects of methanol on crystallization of water in the deeply super cooled region Ryutaro Souda Nanoscale Materials Center National Institute for Materials Science Japan PHYSICAL REVIEW B 75, 184116, 2007

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2014 Supporting Information Sustainable photocatalytic production of hydrogen

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Importance of in situ Monitoring in MOCVD Process and Future Prospects

Importance of in situ Monitoring in MOCVD Process and Future Prospects G u e s t F o r u m Guest Forum Series of Lectures by Screening Committees of the Second Masao Horiba Awards Importance of in situ Monitoring in MOCVD Process and Future Prospects Hiroshi Funakubo Tokyo

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Water clustering on nanostructured iron oxide films

Water clustering on nanostructured iron oxide films ARTICLE Received 12 May 2013 Accepted 22 May 2014 Published 30 Jun 2014 Water clustering on nanostructured iron oxide films Lindsay R. Merte1,2, Ralf Bechstein1, W. Guowen Peng3, Felix Rieboldt1, Carrie

More information

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 2, April 2005, p. 665-670 THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION M. Girtan,

More information

Short course on Atomic Layer Deposition

Short course on Atomic Layer Deposition Short course on Atomic Layer Deposition Erwin Kessels & Jan-Pieter van Delft w.m.m.kessels@tue.nl www.phys.tue.nl/pmp Vapor phase deposition technologies Physical Vapor Deposition (PVD) sputtering Chemical

More information

Step coverage modeling of thin films in atomic layer deposition

Step coverage modeling of thin films in atomic layer deposition JOURNAL OF APPLIED PHYSICS 101, 073502 2007 Step coverage modeling of thin films in atomic layer deposition Ja-Yong Kim, a Ji-Hoon Ahn, and Sang-Won Kang b Department of Materials Science and Engineering,

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

FEASIBILITY OF IN SITU TXRF

FEASIBILITY OF IN SITU TXRF FEASIBILITY OF IN SITU TXRF A. ngh 1, P. Goldenzweig 2, K. Baur 1, S. Brennan 1, and P. Pianetta 1 1. Stanford Synchrotron Radiation Laboratory, Stanford, CA 94309, US 2. Binghamton University, New York,

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties Dingemans, G.; Helvoirt, van, C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M. Published in: Journal

More information

Investigation of CNT Growth Regimes in a Tubular CVD Reactor Considering Growth Temperature

Investigation of CNT Growth Regimes in a Tubular CVD Reactor Considering Growth Temperature ICHMT2014-XXXX Investigation of CNT Growth Regimes in a Tubular CVD Reactor Considering Growth Temperature B. Zahed 1, T. Fanaei Sheikholeslami 2,*, A. Behzadmehr 3, H. Atashi 4 1 PhD Student, Mechanical

More information

Vacuum Technology and film growth. Diffusion Resistor

Vacuum Technology and film growth. Diffusion Resistor Vacuum Technology and film growth Poly Gate pmos Polycrystaline Silicon Source Gate p-channel Metal-Oxide-Semiconductor (MOSFET) Drain polysilicon n-si ion-implanted Diffusion Resistor Poly Si Resistor

More information

Lecture 1: Vapour Growth Techniques

Lecture 1: Vapour Growth Techniques PH3EC2 Vapour Growth and Epitaxial Growth Lecturer: Dr. Shinoj V K Lecture 1: Vapour Growth Techniques 1.1 Vapour growth The growth of single crystal materials from the vapour phase. Deposition from the

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Atomic Layer Deposition for Continuous Roll-to-Roll Processing

Atomic Layer Deposition for Continuous Roll-to-Roll Processing Atomic Layer Deposition for Continuous Roll-to-Roll Processing S.M. George, P.R. Fitzpatrick, and Z.M. Gibbs, Departments of Chemistry and Chemical Engineering, University of Colorado, Boulder, CO ABSTRACT

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs.

Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs. Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs. JP Viricelle a, P. Breuil a, C. Pijolat a, F James a, M. Camara b, D. Briand b a Ecole Nationale des Mines, SPIN-EMSE,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Supporting Data. The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United

Supporting Data. The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United Supporting Data MoS 2 Functionalization for Ultra-thin Atomic Layer Deposited Dielectrics Angelica Azcatl, 1 Stephen McDonnell, 1 Santosh KC, 1 Xing Peng, 1 Hong Dong, 1 Xiaoye Qin, 1 Rafik Addou, 1 Greg

More information

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER 1.1149/1.229339, copyright The Electrochemical Society SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 2 MM WAFER R. Matero, A. Rahtu, S. Haukka, M. Tuominen, M. Vehkamäki 1, T. Hatanpää

More information

Multiscale Simulation of Atomic Layer Deposition in a Nanoporous Material

Multiscale Simulation of Atomic Layer Deposition in a Nanoporous Material The Institute for Systems Research Isr Technical Report 28-21 Multiscale Simulation of Atomic Layer Deposition in a Nanoporous Material Dwivedi, Vivek, and Adomaitis, Raymond ISR develops, applies and

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Network Model Analysis of Poly-silicon Film Deposition on Wafers in CVD Reactor

Network Model Analysis of Poly-silicon Film Deposition on Wafers in CVD Reactor etwor Model Analysis of Poly-silicon Film eposition on Wafers in CV Reactor Tomoji Watanabe, Shigei Hirasawa 2 epartment of Mechanical Engineering, Kobe University, 2 Roodai, ada, Kobe, Hyogo 657-850 Japan

More information