EE194-EE290C. 28 nm SoC for IoT

Size: px
Start display at page:

Download "EE194-EE290C. 28 nm SoC for IoT"

Transcription

1 EE194-EE290C 28 nm SoC for IoT Ref: Communic:on Systems y A. Bruce Crlson, Pul B. Crilly nd Jnet C. Rutledge CMOS VLSI Design y Neil H. Weste nd Dvid Money Hrris Timing Lirry Formt Reference, Cdence Design System hsps:// Synopsys Design Compiler User Guide

2 Bit Synchroniz:on Crrier Frequency nd Phse Frme Synchroniz:on Synchroniz:on

3 Synchroniz:on PN sequence genertor. m 1 m 2 m 3 m 4 m Output sequence

4 Synchroniz:on Autocorrel:on of PN sequence. R s (τ) 1 -T c T c (N-1)T c (N+1)T c 1/N NT c τ

5 Synchroniz:on Frme Synchroniz:on Premle Strt of messge Messge its t

6 Synchroniz:on Frme Synchroniz:on/Premle Detec:on... K K-1 K-2 K-N... c 1 c 2 c n Σ V K N v k = c i k i i=1

7 Mtl Exmple openexmple('comm/msksignlrecoveryexmple') MSKSignlRecoveryExmple

8 Trnsmission Gte Mux q Nonrestoring mux uses two trnsmission gtes - Only 4 trnsistors S D0 D1 S Y S

9 D Ltch q When CLK = 1, ltch is trnsprent - D flows through to Q like uffer q When CLK = 0, the ltch is opque - Q holds its old vlue independent of D q.k.. trnsprent ltch or level-sensitive ltch CLK CLK D Ltch Q D Q

10 D Ltch Design q Multiplexer chooses D or old Q D CLK 1 0 Q Q D CLK CLK CLK Q Q CLK

11 D Ltch Oper:on Q Q D Q D Q CLK = 1 CLK = 0 CLK D Q

12 D Flip-flop q When CLK rises, D is copied to Q q At ll other times, Q holds its vlue q.k.. positive edge-triggered flip-flop, mster-slve flip-flop CLK CLK D D Flop Q Q

13 D Flip-flop Design q Built from mster nd slve D ltches CLK D CLK QM CLK Q CLK CLK CLK CLK CLK D Ltch QM Ltch Q CLK CLK

14 D Flip-flop Oper:on D QM Q CLK = 0 D QM Q CLK = 1 CLK D Q

15 Rce Condi:on q Bck-to-ck flops cn mlfunction from clock skew - Second flip-flop fires lte - Sees first flip-flop chnge nd cptures its result - Clled hold-time filure or rce condition CLK1 CLK1 CLK2 CLK2 D Flop Q1 Flop Q2 Q1 Q2

16 Setup nd Hold Time Voltge Clock Setup Time Hold Time Time

17 Non-overlpping Clocks q Non-overlpping clocks cn prevent rces - As long s non-overlp exceeds clock skew q We will use them in this clss for sfe design - Industry mnges skew more crefully insted φ 2 φ 1 D QM Q φ 2 φ 2 φ 1 φ 1 φ 2 φ 1 φ 1 φ 2

18 Scn In Cell scn_in chip_in _int phi phi scn_i0o1 0 1 scn_i0o1 lod phi phi_out phi_out D Q D Q phi scn_i0o1_out lod_out scn_out _int scn_out scn_out_int lod D Q chip_in_int chip_in

19 Scn Out Cell phi phi scn_i0o1 lod phi_out phi_out scn_i0o1_out lod_out scn_in 0 chip_out 1 phi scn_i0o1 D Q D Q phi scn_out

20 Scn In-Out Cell phi phi scn_i0o1 lod scn_in 0 chip_out 1 phi scn_i0o1 phi_out phi_out D Q D Q phi scn_i0o1_out lod_out scn_out _int scn_out scn_out_int lod D Q chip_in_int chip_in

21 Gte Lyout q Lyout cn e very time consuming - Design gtes to fit together nicely - Build lirry of stndrd cells q Stndrd cell design methodology - V DD nd GND should ut (stndrd height) - Adjcent gtes should stisfy design rules - nmos t ottom nd pmos t top - All gtes include well nd sustrte contcts

22 q Uniform cell height q Uniform well height q M1 V DD nd GND rils q M2 Access to I/Os q Well / sustrte tps q Exploits regulrity Stndrd Cells

23 Coping With Complexity q How to design System-on-Chip? - Mny millions (even illions!) of trnsistors - Tens to hundreds of engineers q Structured Design q Design Prtitioning

24 Structured Design q Hierrchy: Divide nd Conquer - Recursively system into modules q Regulrity - Reuse modules wherever possile - Ex: Stndrd cell lirry q Modulrity: well-formed interfces - Allows modules to e treted s lck oxes q Loclity - Physicl nd temporl

25 Design Pr::oning q Architecture: User s perspective, wht does it do? - Instruction set, registers - MIPS, x86, Alph, PIC, ARM, q Microrchitecture - Single cycle, multi-cycle, pipelined, supersclr? q Logic: how re functionl locks constructed - Ripple crry, crry look-hed, crry select dders q Circuit: how re trnsistors used - Complementry CMOS, pss trnsistors, domino q Physicl: chip lyout - Dtpths, memories, rndom logic

26 HDL q Hrdwre Description Lnguges - Widely used in logic design - Verilog nd VHDL q Descrie hrdwre using code - Document logic functions - Simulte logic efore uilding - Synthesize code into gtes nd lyout Requires lirry of stndrd cells

27 Verilog Exmple module fulldder(input,, c, output s, cout); c sum s1(,, c, s); crry c1(,, c, cout); endmodule cout s c fulldder crry sum module crry(input,, c, output cout) cout s ssign cout = (&) (&c) (&c); endmodule

28 Circuit Design q How should logic e implemented? - NANDs nd NORs vs. ANDs nd ORs? - Fn-in nd fn-out? - How wide should trnsistors e? q These choices ffect speed, re, power q Logic synthesis mkes these choices for you - Good enough for mny pplictions - Hnd-crfted circuits re still etter

29 Exmple: Crry Logic q ssign cout = (&) (&c) (&c); c c g1 g2 g3 x y z g4 cout p1 c c n1 p3 n3 p2 i3 i1 n2 p4 i4 p5 cn n5 i2 n4 p6 n6 cout Trnsistors? Gte Delys?

30 Gte Level Netlist module crry(input,, c, output cout) wire x, y, z; nd g1(x,, ); nd g2(y,, c); nd g3(z,, c); or g4(cout, x, y, z); endmodule c c g1 g2 g3 x y z g4 cout

31 Trnsistor Level Netlist module crry(input,, c, output cout) wire i1, i2, i3, i4, cn; trnif1 n1(i1, 0, ); trnif1 n2(i1, 0, ); trnif1 n3(cn, i1, c); trnif1 n4(i2, 0, ); trnif1 n5(cn, i2, ); trnif0 p1(i3, 1, ); trnif0 p2(i3, 1, ); trnif0 p3(cn, i3, c); trnif0 p4(i4, 1, ); trnif0 p5(cn, i4, ); trnif1 n6(cout, 0, cn); trnif0 p6(cout, 1, cn); endmodule p1 c c n1 p3 n3 p2 i3 i1 n2 p4 i4 p5 cn n5 i2 n4 p6 n6 cout

32 SPICE Netlist.SUBCKT CARRY A B C COUT VDD GND MN1 I1 A GND GND NMOS W=1U L=0.18U AD=0.3P AS=0.5P MN2 I1 B GND GND NMOS W=1U L=0.18U AD=0.3P AS=0.5P MN3 CN C I1 GND NMOS W=1U L=0.18U AD=0.5P AS=0.5P MN4 I2 B GND GND NMOS W=1U L=0.18U AD=0.15P AS=0.5P MN5 CN A I2 GND NMOS W=1U L=0.18U AD=0.5P AS=0.15P MP1 I3 A VDD VDD PMOS W=2U L=0.18U AD=0.6P AS=1 P MP2 I3 B VDD VDD PMOS W=2U L=0.18U AD=0.6P AS=1P MP3 CN C I3 VDD PMOS W=2U L=0.18U AD=1P AS=1P MP4 I4 B VDD VDD PMOS W=2U L=0.18U AD=0.3P AS=1P MP5 CN A I4 VDD PMOS W=2U L=0.18U AD=1P AS=0.3P MN6 COUT CN GND GND NMOS W=2U L=0.18U AD=1P AS=1P MP6 COUT CN VDD VDD PMOS W=4U L=0.18U AD=2P AS=2P CI1 I1 GND 2FF CI3 I3 GND 3FF CA A GND 4FF CB B GND 4FF CC C GND 2FF CCN CN GND 4FF CCOUT COUT GND 2FF.ENDS

33 q Floorpln q Stndrd cells - Plce & route q Dtpths - Slice plnning q Are estimtion Physicl Design

34 MIPS Floorpln

35 MIPS Lyout

36 Synthesized Controller q Synthesize HDL into gte-level netlist q Plce & Route using stndrd cell lirry

37 Design Verific:on q Friction is slow & expensive q Deugging chips is very hrd - Limited visiility into opertion q Prove design is right efore uilding! - Logic simultion - Ckt. simultion / forml verifiction - Lyout vs. schemtic comprison - Design & electricl rule checks q Verifiction is > 50% of effort on most chips! Specifiction Architecture Design Logic Design = = Function Function = Function Circuit Design = Function Timing Power Physicl Design

38 VLSI Flow q Design Compiler (DC) Synopsys tool Design Compiler op:mizes designs to provide the smllest nd fstest logicl represent:on of given func:on. It comprises tools tht synthesize your HDL descrip:ons into op:mized, technology-dependent, gte-level designs.

39 Fric:on & Pckging q Tpeout finl lyout q Friction - 6, 8, 12 wfers - Optimized for throughput, - not ltency (10 weeks!) - Cut into individul dice q Pckging - Bond gold wires from die I/O pds to pckge

40 Tes:ng q Test tht chip opertes - Design errors - Mnufcturing errors q A single dust prticle or wfer defect kills die - Yields from 90% to < 10% - Depends on die size, mturity of process - Test ech prt efore shipping to customer

41 MIPS R3000 Processor q 32-it 2 nd genertion commercil processor (1988) q Led y John Hennessy (Stnford, MIPS Founder) q KB Cches q 1.2 µm process q 111K Trnsistors q Up to MHz q 66 mm 2 die q 145 I/O Pins q V DD = 5 V q 4 Wtts q SGI Worksttions

42 Trnsistor s Switches q We cn view MOS trnsistors s electriclly controlled switches q Voltge t gte controls pth from source to drin g = 0 g = 1 nmos g d s d s OFF d s ON pmos g d s d s ON d s OFF

43 CMOS Inverter A Y 0 1 V DD 1 0 A Y A Y GND

44 CMOS NAND Gte A B Y Y A B

45 CMOS NOR Gte A B Y A B Y

46 Complementry CMOS q Complementry CMOS logic gtes - nmos pull-down network - pmos pull-up network -.k.. sttic CMOS pmos pull-up network inputs output Pull-up OFF Pull-down OFF Z (flot) 1 Pull-up ON nmos pull-down network Pull-down ON 0 X (crowr)

47 Series nd Prllel q nmos: 1 = ON q pmos: 0 = ON q Series: oth must e ON q Prllel: either cn e ON () g1 g OFF OFF OFF ON g g () ON OFF OFF OFF g1 g (c) OFF ON ON ON g1 g (d) ON ON ON OFF

48 Conduc:on Complement q Complementry CMOS gtes lwys produce 0 or 1 q Ex: NAND gte - Series nmos: Y=0 when oth inputs re 1 - Thus Y=1 when either input is 0 - Requires prllel pmos q Rule of Conduction Complements - Pull-up network is complement of pull-down - Prllel -> series, series -> prllel A B Y

49 Signl Strength q Strength of signl - How close it pproximtes idel voltge source q V DD nd GND rils re strongest 1 nd 0 q nmos pss strong 0 - But degrded or wek 1 q pmos pss strong 1 - But degrded or wek 0 q Thus nmos re est for pull-down network

50 Pss Trnsistors q Trnsistors cn e used s switches s g d s s g = 0 g = 1 d d Input g = 1 Output 0 strong 0 g = 1 1 degrded 1 g s g = 0 d Input g = 0 Output 0 degrded 0 s d s g = 1 d 1 g = 0 strong 1

51 Trnsmission Gtes q Pss trnsistors produce degrded outputs q Trnsmission gtes pss oth 0 nd 1 well g g g = 0, g = 1 g = 1, g = 0 Input Output g = 1, g = 0 0 strong 0 g = 1, g = 0 1 strong 1 g g g g g g

52 Tristtes q Tristte uffer produces Z when not enled EN A Y 0 0 Z 0 1 Z A EN Y A EN Y EN

53 Non-restoring Tristte q Trnsmission gte cts s tristte uffer - Only two trnsistors - But non-restoring Noise on A is pssed on to Y EN A Y EN

54 Tristte Inverter q Tristte inverter produces restored output - Violtes conduction complement rule - Becuse we wnt Z output A A A EN EN Y Y Y EN = 0 Y = 'Z' EN = 1 Y = A

55 Mul:plexers q 2:1 multiplexer chooses etween two inputs S D1 D0 Y S 0 X X X 0 D0 D1 0 1 Y 1 1 X 1

56 Gte-Level Mux Design q How mny trnsistors re needed? 20 Y = SD + SD 1 0 (too mny trnsistors)

EE194-EE290C. 28 nm SoC for IoT

EE194-EE290C. 28 nm SoC for IoT EE194-EE290C 28 nm SoC for IoT Ref: Communica:on Systems by A. Bruce Carlson, Paul B. Crilly and Janet C. Rutledge CMOS VLSI Design by Neil H. Weste and David Money Harris Timing Library Format Reference,

More information

Module wires will get worse, but only slowly You don t think to rethink your wires in your adder, memory Or even your super-scalar processor core It

Module wires will get worse, but only slowly You don t think to rethink your wires in your adder, memory Or even your super-scalar processor core It Module wires will get worse, ut only slowly ou don t think to rethink your wires in your dder, memory Or even your super-sclr processor core It does let you design more modules Continued scling of uniprocessor

More information

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment EE273 Lecture 15 Asynchronous Design Novemer 16, 199 Willim J. Dlly Computer Systems Lortory Stnford University illd@csl.stnford.edu 1 Tody s Assignment Term Project see project updte hndout on we checkpoint

More information

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation CS2N: The Coming Revolution in Computer Architecture Lortory 2 Preprtion Ojectives:. Understnd the principle of sttic CMOS gte circuits 2. Build simple logic gtes from MOS trnsistors 3. Evlute these gtes

More information

Fault Modeling. EE5375 ADD II Prof. MacDonald

Fault Modeling. EE5375 ADD II Prof. MacDonald Fult Modeling EE5375 ADD II Prof. McDonld Stuck At Fult Models l Modeling of physicl defects (fults) simplify to logicl fult l stuck high or low represents mny physicl defects esy to simulte technology

More information

expression simply by forming an OR of the ANDs of all input variables for which the output is

expression simply by forming an OR of the ANDs of all input variables for which the output is 2.4 Logic Minimiztion nd Krnugh Mps As we found ove, given truth tle, it is lwys possile to write down correct logic expression simply y forming n OR of the ANDs of ll input vriles for which the output

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Deprtment of Electricl Engineering nd Computer Sciences Eld Alon Homework #3 Solutions EECS4 PROBLEM : CMOS Logic ) Implement the logic function

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline q A Brief History q CMOS Gate esign q Pass Transistors q CMOS Latches & Flip-Flops q Standard Cell Layouts q Stick iagrams 2 A Brief History q 1958: First integrated

More information

6.004 Computation Structures Spring 2009

6.004 Computation Structures Spring 2009 MIT OpenCourseWre http://ocw.mit.edu 6.004 Computtion Structures Spring 009 For informtion out citing these mterils or our Terms of Use, visit: http://ocw.mit.edu/terms. Cost/Performnce Trdeoffs: cse study

More information

EECS 141 Due 04/19/02, 5pm, in 558 Cory

EECS 141 Due 04/19/02, 5pm, in 558 Cory UIVERSITY OF CALIFORIA College of Engineering Deprtment of Electricl Engineering nd Computer Sciences Lst modified on April 8, 2002 y Tufn Krlr (tufn@eecs.erkeley.edu) Jn M. Rey, Andrei Vldemirescu Homework

More information

Overview of Today s Lecture:

Overview of Today s Lecture: CPS 4 Computer Orgniztion nd Progrmming Lecture : Boolen Alger & gtes. Roert Wgner CPS4 BA. RW Fll 2 Overview of Tody s Lecture: Truth tles, Boolen functions, Gtes nd Circuits Krnugh mps for simplifying

More information

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations Introduction: Binding Prt of 4-lecture introduction Scheduling Resource inding Are nd performnce estimtion Control unit synthesis This lecture covers Resources nd resource types Resource shring nd inding

More information

Where are we? Data Path Design

Where are we? Data Path Design Where are we? Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath Data Path Design

More information

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan Where are we? Data Path Design Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath

More information

Lecture 4: Implementing Logic in CMOS

Lecture 4: Implementing Logic in CMOS Lecture 4: Implementing Logic in CMOS Mark Mcermott Electrical and Computer Engineering The University of Texas at ustin Review of emorgan s Theorem Recall that: () = + and = ( + ) (+) = and + = ( ) ()

More information

Arithmetic Building Blocks

Arithmetic Building Blocks rithmetic uilding locks Datapath elements dder design Static adder Dynamic adder Multiplier design rray multipliers Shifters, Parity circuits ECE 261 Krish Chakrabarty 1 Generic Digital Processor Input-Output

More information

Bit-Sliced Design. EECS 141 F01 Arithmetic Circuits. A Generic Digital Processor. Full-Adder. The Binary Adder

Bit-Sliced Design. EECS 141 F01 Arithmetic Circuits. A Generic Digital Processor. Full-Adder. The Binary Adder it-liced Design Control EEC 141 F01 rithmetic Circuits Data-In Register dder hifter it 3 it 2 it 1 it 0 Data-Out Tile identical processing elements Generic Digital Processor Full-dder MEMORY Cin Full adder

More information

Designing Information Devices and Systems I Spring 2018 Homework 7

Designing Information Devices and Systems I Spring 2018 Homework 7 EECS 16A Designing Informtion Devices nd Systems I Spring 2018 omework 7 This homework is due Mrch 12, 2018, t 23:59. Self-grdes re due Mrch 15, 2018, t 23:59. Sumission Formt Your homework sumission should

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits Digital Integrated Circuits Design Perspective rithmetic Circuits Reference: Digital Integrated Circuits, 2nd edition, Jan M. Rabaey, nantha Chandrakasan and orivoje Nikolic Disclaimer: slides adapted

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16]

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16] Code No: RR420203 Set No. 1 1. (a) Find g m and r ds for an n-channel transistor with V GS = 1.2V; V tn = 0.8V; W/L = 10; µncox = 92 µa/v 2 and V DS = Veff + 0.5V The out put impedance constant. λ = 95.3

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Designing Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

EE 560 INTRODUCTION. Kenneth R. Laker, University of Pennsylvania

EE 560 INTRODUCTION. Kenneth R. Laker, University of Pennsylvania 1 EE 560 ITROUTIO Kenneth R. Lker, University of ennsylvni ORERIG OF TOIS 2 MOS Friction MOS Trnsistor Model Two Trnsistor ircuits (Inverters) Logic ircuits, Gtes, Ltches Regulr Structures ROMs, RMs, Ls

More information

Clocking Strategies and Scannable Latches for Low Power Applications

Clocking Strategies and Scannable Latches for Low Power Applications locking trtegies nd cnnle Ltches for Low Power pplictions V. Zyun nd. eltzer eserch ivision, T.J. Wtson eserch enter, Yorktown Heights, NY 598 (. eltzer is now with Epson eserch nd evelopment, NY) strct

More information

Circuit A. Circuit B

Circuit A. Circuit B UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on November 19, 2006 by Karl Skucha (kskucha@eecs) Borivoje Nikolić Homework #9

More information

ECE223. R eouven Elbaz Office room: DC3576

ECE223. R eouven Elbaz Office room: DC3576 ECE223 R eouven Elz reouven@uwterloo.c Office room: DC3576 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl systems. It dels with the cse where vriles ssume only one o two vlues: TRUE (usully represented

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection NMOS Trnsistors in Series/Prllel onnetion Leture 6 MOS Stti & ynmi Logi Gtes Trnsistors n e thought s swith ontrolled y its gte signl NMOS swith loses when swith ontrol input is high Peter heung eprtment

More information

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects Lecture 5 MOS Inverter: Switching Characteristics and Interconnection Effects Introduction C load = (C gd,n + C gd,p + C db,n + C db,p ) + (C int + C g ) Lumped linear capacitance intrinsic cap. extrinsic

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective rithmetic ircuitsss dapted from hapter 11 of Digital Integrated ircuits Design Perspective Jan M. Rabaey et al. opyright 2003 Prentice Hall/Pearson 1 Generic Digital Processor MEMORY INPUT-OUTPUT ONTROL

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS151/251A V. Stojanovic, J. Wawrzynek Fall 2015 10/13/15 Midterm Exam Name: ID

More information

ECE 425 Midterm Overview. Fall 2017

ECE 425 Midterm Overview. Fall 2017 ECE 425 Midterm Overview Fall 2017 Overview q Midterm (20% of total grade) Oct 24 th 3:30-5:00pm in class q Materials Lecture 1 through 11 MP0, MP1 HW1, HW2 Practice exam q Rules 1 page of cheat sheet,

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1 ELETRIL ND OMPUTER ENGINEERING DEPRTMENT, OKLND UNIVERSIT EE-78: omputer Hrdwre Design Winter 016 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control Outline Lst time: Deriving the Stte Digrm & Dtpth (Cont.) Mpping the Dtpth onto Control This lecture: Comintionl Testility nd Test-pttern Genertion Fults in digitl circuits Wht is test? : Controllility

More information

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics CMO Design Multi-input delay analysis pring 25 Transmission Gate OUT Z OUT Z pring 25 Transmission Gate OUT When is low, the output is at high impedance When is high, the output follows However,

More information

Introduction to Electrical & Electronic Engineering ENGG1203

Introduction to Electrical & Electronic Engineering ENGG1203 Introduction to Electricl & Electronic Engineering ENGG23 2 nd Semester, 27-8 Dr. Hden Kwok-H So Deprtment of Electricl nd Electronic Engineering Astrction DIGITAL LOGIC 2 Digitl Astrction n Astrct ll

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7 EECS 427 Lecture 8: dders Readings: 11.1-11.3.3 3 EECS 427 F09 Lecture 8 1 Reminders HW3 project initial proposal: due Wednesday 10/7 You can schedule a half-hour hour appointment with me to discuss your

More information

Designing Information Devices and Systems I Anant Sahai, Ali Niknejad. This homework is due October 19, 2015, at Noon.

Designing Information Devices and Systems I Anant Sahai, Ali Niknejad. This homework is due October 19, 2015, at Noon. EECS 16A Designing Informtion Devices nd Systems I Fll 2015 Annt Shi, Ali Niknejd Homework 7 This homework is due Octoer 19, 2015, t Noon. 1. Circuits with cpcitors nd resistors () Find the voltges cross

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

Cross-section section of DC motor. How does a DC Motor work? 2 Commutator Bars N X. DC Motors 26.1

Cross-section section of DC motor. How does a DC Motor work? 2 Commutator Bars N X. DC Motors 26.1 DC Motors 26.1 How does DC Motor work? Crosssection section of DC motor Mgnetic field vector, B oft Iron Core (otor) Wire length vector, dl Force vector, df Current, i Permnent Mgnet (ttor) Crosssection

More information

Designing Information Devices and Systems I Discussion 8B

Designing Information Devices and Systems I Discussion 8B Lst Updted: 2018-10-17 19:40 1 EECS 16A Fll 2018 Designing Informtion Devices nd Systems I Discussion 8B 1. Why Bother With Thévenin Anywy? () Find Thévenin eqiuvlent for the circuit shown elow. 2kΩ 5V

More information

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego

CSE140: Components and Design Techniques for Digital Systems. Logic minimization algorithm summary. Instructor: Mohsen Imani UC San Diego CSE4: Components and Design Techniques for Digital Systems Logic minimization algorithm summary Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing & Dr.Pietro Mercati Definition

More information

Boolean algebra.

Boolean algebra. http://en.wikipedi.org/wiki/elementry_boolen_lger Boolen lger www.tudorgir.com Computer science is not out computers, it is out computtion nd informtion. computtion informtion computer informtion Turing

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 18 CMOS Sequential Circuits - 1 guntzel@inf.ufsc.br

More information

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Signature: EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Robert Dick Show your work. Derivations are required for credit; end results are insufficient. Closed book. You may use

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

Stepping Motor Driver IC IK6502N TECHNICAL DATA. General Description

Stepping Motor Driver IC IK6502N TECHNICAL DATA. General Description TECHNICAL DATA Stepping Motor Driver IC IK6502 Generl Description IK6502 is stepping motor driver ICs with MOS output trnsistors. The ICs cn control two-phse stepping motor forwrd nd reverse by bipolr

More information

Intro To Digital Logic

Intro To Digital Logic Intro To Digital Logic 1 Announcements... Project 2.2 out But delayed till after the midterm Midterm in a week Covers up to last lecture + next week's homework & lab Nick goes "H-Bomb of Justice" About

More information

CS415 Compilers. Lexical Analysis and. These slides are based on slides copyrighted by Keith Cooper, Ken Kennedy & Linda Torczon at Rice University

CS415 Compilers. Lexical Analysis and. These slides are based on slides copyrighted by Keith Cooper, Ken Kennedy & Linda Torczon at Rice University CS415 Compilers Lexicl Anlysis nd These slides re sed on slides copyrighted y Keith Cooper, Ken Kennedy & Lind Torczon t Rice University First Progrmming Project Instruction Scheduling Project hs een posted

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So.

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So. 5/9/ Comintionl Logic ENGG05 st Semester, 0 Dr. Hyden So Representtions of Logic Functions Recll tht ny complex logic function cn e expressed in wys: Truth Tle, Boolen Expression, Schemtics Only Truth

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

Hw 6 due Thursday, Nov 3, 5pm No lab this week

Hw 6 due Thursday, Nov 3, 5pm No lab this week EE141 Fall 2005 Lecture 18 dders nnouncements Hw 6 due Thursday, Nov 3, 5pm No lab this week Midterm 2 Review: Tue Nov 8, North Gate Hall, Room 105, 6:30-8:30pm Exam: Thu Nov 10, Morgan, Room 101, 6:30-8:00pm

More information

Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences.

Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences. Lecture 3 Ferury 6 ern University of pplied ciences ev. f57fc 3. We hve seen tht circuit cn hve multiple (n) inputs, e.g.,, C, We hve lso seen tht circuit cn hve multiple (m) outputs, e.g. X, Y,, ; or

More information

Hold Time Illustrations

Hold Time Illustrations Hold Time Illustrations EE213-L09-Sequential Logic.1 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential Logic.2 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay s CSE477 slides

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 23, 2017 Energy and Power Optimization, Design Space Exploration, Synchronous MOS Logic Lecture Outline! Energy and Power Optimization

More information

Section 6: Area, Volume, and Average Value

Section 6: Area, Volume, and Average Value Chpter The Integrl Applied Clculus Section 6: Are, Volume, nd Averge Vlue Are We hve lredy used integrls to find the re etween the grph of function nd the horizontl xis. Integrls cn lso e used to find

More information

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata CS103B ndout 18 Winter 2007 Ferury 28, 2007 Finite Automt Initil text y Mggie Johnson. Introduction Severl childrens gmes fit the following description: Pieces re set up on plying ord; dice re thrown or

More information

Designing Information Devices and Systems I Fall 2016 Babak Ayazifar, Vladimir Stojanovic Homework 6. This homework is due October 11, 2016, at Noon.

Designing Information Devices and Systems I Fall 2016 Babak Ayazifar, Vladimir Stojanovic Homework 6. This homework is due October 11, 2016, at Noon. EECS 16A Designing Informtion Devices nd Systems I Fll 2016 Bk Ayzifr, Vldimir Stojnovic Homework 6 This homework is due Octoer 11, 2016, t Noon. 1. Homework process nd study group Who else did you work

More information

Minimal DFA. minimal DFA for L starting from any other

Minimal DFA. minimal DFA for L starting from any other Miniml DFA Among the mny DFAs ccepting the sme regulr lnguge L, there is exctly one (up to renming of sttes) which hs the smllest possile numer of sttes. Moreover, it is possile to otin tht miniml DFA

More information

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3) CMPE12 - Notes chapter 1 Digital Logic (Textbook Chapter 3) Transistor: Building Block of Computers Microprocessors contain TONS of transistors Intel Montecito (2005): 1.72 billion Intel Pentium 4 (2000):

More information

CISC 4090 Theory of Computation

CISC 4090 Theory of Computation 9/6/28 Stereotypicl computer CISC 49 Theory of Computtion Finite stte mchines & Regulr lnguges Professor Dniel Leeds dleeds@fordhm.edu JMH 332 Centrl processing unit (CPU) performs ll the instructions

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

ECE 327 Solution to Midterm 2016t1 (Winter)

ECE 327 Solution to Midterm 2016t1 (Winter) ECE 7 Solution to Midterm 6t (Winter) All requests for re-mrks must be submitted in writing to Mrk Agrd before 8:m on ridy Mrch. A rndom collection of midterms were scnned. Exms tht re submitted for re-mrking

More information

Intermediate Math Circles Wednesday, November 14, 2018 Finite Automata II. Nickolas Rollick a b b. a b 4

Intermediate Math Circles Wednesday, November 14, 2018 Finite Automata II. Nickolas Rollick a b b. a b 4 Intermedite Mth Circles Wednesdy, Novemer 14, 2018 Finite Automt II Nickols Rollick nrollick@uwterloo.c Regulr Lnguges Lst time, we were introduced to the ide of DFA (deterministic finite utomton), one

More information

Digital EE141 Integrated Circuits 2nd Combinational Circuits

Digital EE141 Integrated Circuits 2nd Combinational Circuits Digital Integrated Circuits Designing i Combinational Logic Circuits 1 Combinational vs. Sequential Logic 2 Static CMOS Circuit t every point in time (except during the switching transients) each gate

More information

5. (±±) Λ = fw j w is string of even lengthg [ 00 = f11,00g 7. (11 [ 00)± Λ = fw j w egins with either 11 or 00g 8. (0 [ ffl)1 Λ = 01 Λ [ 1 Λ 9.

5. (±±) Λ = fw j w is string of even lengthg [ 00 = f11,00g 7. (11 [ 00)± Λ = fw j w egins with either 11 or 00g 8. (0 [ ffl)1 Λ = 01 Λ [ 1 Λ 9. Regulr Expressions, Pumping Lemm, Right Liner Grmmrs Ling 106 Mrch 25, 2002 1 Regulr Expressions A regulr expression descries or genertes lnguge: it is kind of shorthnd for listing the memers of lnguge.

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

CHAPTER 1 Regular Languages. Contents

CHAPTER 1 Regular Languages. Contents Finite Automt (FA or DFA) CHAPTE 1 egulr Lnguges Contents definitions, exmples, designing, regulr opertions Non-deterministic Finite Automt (NFA) definitions, euivlence of NFAs nd DFAs, closure under regulr

More information

Regular expressions, Finite Automata, transition graphs are all the same!!

Regular expressions, Finite Automata, transition graphs are all the same!! CSI 3104 /Winter 2011: Introduction to Forml Lnguges Chpter 7: Kleene s Theorem Chpter 7: Kleene s Theorem Regulr expressions, Finite Automt, trnsition grphs re ll the sme!! Dr. Neji Zgui CSI3104-W11 1

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

1 2 : 4 5. Why Digital Systems? Lesson 1: Introduction to Digital Logic Design. Numbering systems. Sample Problems 1 5 min. Lesson 1-b: Logic Gates

1 2 : 4 5. Why Digital Systems? Lesson 1: Introduction to Digital Logic Design. Numbering systems. Sample Problems 1 5 min. Lesson 1-b: Logic Gates Leon : Introduction to Digitl Logic Deign Computer ided Digitl Deign EE 39 meet Chvn Fll 29 Why Digitl Sytem? ccurte depending on numer of digit ued CD Muic i digitl Vinyl Record were nlog DVD Video nd

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

Convert the NFA into DFA

Convert the NFA into DFA Convert the NF into F For ech NF we cn find F ccepting the sme lnguge. The numer of sttes of the F could e exponentil in the numer of sttes of the NF, ut in prctice this worst cse occurs rrely. lgorithm:

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Degrading Precision Arithmetic for Low Power Signal Processing

Degrading Precision Arithmetic for Low Power Signal Processing Degrding Precision Arithmetic for Low Power Signl Processing Mssimo Petricc, Gin Crlo Crdrilli, Alberto Nnnrelli (1), Mrco Re nd Pietro Albicocco Deprtment of Electronics, University of Rome Tor ergt,

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

CMPE12 - Notes chapter 2. Digital Logic. (Textbook Chapters and 2.1)"

CMPE12 - Notes chapter 2. Digital Logic. (Textbook Chapters and 2.1) CMPE12 - Notes chapter 2 Digital Logic (Textbook Chapters 3.1-3.5 and 2.1)" Truth table" The most basic representation of a logic function." Brute force representation listing the output for all possible

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

Riemann Sums and Riemann Integrals

Riemann Sums and Riemann Integrals Riemnn Sums nd Riemnn Integrls Jmes K. Peterson Deprtment of Biologicl Sciences nd Deprtment of Mthemticl Sciences Clemson University August 26, 203 Outline Riemnn Sums Riemnn Integrls Properties Abstrct

More information