EE194-EE290C. 28 nm SoC for IoT

Size: px
Start display at page:

Download "EE194-EE290C. 28 nm SoC for IoT"

Transcription

1 EE194-EE290C 28 nm SoC for IoT Ref: Communica:on Systems by A. Bruce Carlson, Paul B. Crilly and Janet C. Rutledge CMOS VLSI Design by Neil H. Weste and David Money Harris Timing Library Format Reference, Cadence Design System hsps:// Synopsys Design Compiler User Guide

2 Bit Synchroniza:on Carrier Frequency and Phase Frame Synchroniza:on Synchroniza:on

3 Synchroniza:on PN sequence generator. m 1 m 2 m 3 m 4 m Output sequence

4 Synchroniza:on Autocorrela:on of a PN sequence. R s (τ) 1 -T c T c (N-1)T c (N+1)T c 1/N NT c τ

5 Synchroniza:on Frame Synchroniza:on Preamble Start of message Message bits t

6 Synchroniza:on Frame Synchroniza:on/Preamble Detec:on... a K a K-1 a K-2 a K-N... c 1 c 2 c n Σ V K N v k = c i a k i i=1

7 Matlab Example openexample('comm/msksignalrecoveryexample') MSKSignalRecoveryExample

8 Transmission Gate Mux q Nonrestoring mux uses two transmission gates - Only 4 transistors S D0 D1 S Y S

9 D Latch q When CLK = 1, latch is transparent - D flows through to Q like a buffer q When CLK = 0, the latch is opaque - Q holds its old value independent of D q a.k.a. transparent latch or level-sensitive latch CLK CLK D Latch Q D Q

10 D Latch Design q Multiplexer chooses D or old Q D CLK 1 0 Q Q D CLK CLK CLK Q Q CLK

11 D Latch Opera:on Q Q D Q D Q CLK = 1 CLK = 0 CLK D Q

12 D Flip-flop q When CLK rises, D is copied to Q q At all other times, Q holds its value q a.k.a. positive edge-triggered flip-flop, master-slave flip-flop CLK CLK D D Flop Q Q

13 D Flip-flop Design q Built from master and slave D latches CLK D CLK QM CLK Q CLK CLK CLK CLK CLK D Latch QM Latch Q CLK CLK

14 D Flip-flop Opera:on D QM Q CLK = 0 D QM Q CLK = 1 CLK D Q

15 Race Condi:on q Back-to-back flops can malfunction from clock skew - Second flip-flop fires late - Sees first flip-flop change and captures its result - Called hold-time failure or race condition CLK1 CLK1 CLK2 CLK2 D Flop Q1 Flop Q2 Q1 Q2

16 Setup and Hold Time Voltage Clock Setup Time Hold Time Time

17 Non-overlapping Clocks q Non-overlapping clocks can prevent races - As long as non-overlap exceeds clock skew q We will use them in this class for safe design - Industry manages skew more carefully instead φ 2 φ 1 D QM Q φ 2 φ 2 φ 1 φ 1 φ 2 φ 1 φ 1 φ 2

18 Scan In Cell scan_in chip_in _int phi phib scan_i0o1 0 1 scan_i0o1 load phi phi_out phib_out D Q D Q phib scan_i0o1_out load_out scan_out _int scan_out scan_out_int load D Q chip_in_int chip_in

19 Scan Out Cell phi phib scan_i0o1 load phi_out phib_out scan_i0o1_out load_out scan_in 0 chip_out 1 phi scan_i0o1 D Q D Q phib scan_out

20 Scan In-Out Cell phi phib scan_i0o1 load scan_in 0 chip_out 1 phi scan_i0o1 phi_out phib_out D Q D Q phib scan_i0o1_out load_out scan_out _int scan_out scan_out_int load D Q chip_in_int chip_in

21 Gate Layout q Layout can be very time consuming - Design gates to fit together nicely - Build a library of standard cells q Standard cell design methodology - V DD and GND should abut (standard height) - Adjacent gates should satisfy design rules - nmos at bottom and pmos at top - All gates include well and substrate contacts

22 q Uniform cell height q Uniform well height q M1 V DD and GND rails q M2 Access to I/Os q Well / substrate taps q Exploits regularity Standard Cells

23 Coping With Complexity q How to design System-on-Chip? - Many millions (even billions!) of transistors - Tens to hundreds of engineers q Structured Design q Design Partitioning

24 Structured Design q Hierarchy: Divide and Conquer - Recursively system into modules q Regularity - Reuse modules wherever possible - Ex: Standard cell library q Modularity: well-formed interfaces - Allows modules to be treated as black boxes q Locality - Physical and temporal

25 Design Par::oning q Architecture: User s perspective, what does it do? - Instruction set, registers - MIPS, x86, Alpha, PIC, ARM, q Microarchitecture - Single cycle, multi-cycle, pipelined, superscalar? q Logic: how are functional blocks constructed - Ripple carry, carry look-ahead, carry select adders q Circuit: how are transistors used - Complementary CMOS, pass transistors, domino q Physical: chip layout - Datapaths, memories, random logic

26 HDL q Hardware Description Languages - Widely used in logic design - Verilog and VHDL q Describe hardware using code - Document logic functions - Simulate logic before building - Synthesize code into gates and layout Requires a library of standard cells

27 Verilog Example module fulladder(input a, b, c, output s, cout); a b a b c sum s1(a, b, c, s); carry c1(a, b, c, cout); endmodule cout s c fulladder carry sum module carry(input a, b, c, output cout) cout s assign cout = (a&b) (a&c) (b&c); endmodule

28 Circuit Design q How should logic be implemented? - NANDs and NORs vs. ANDs and ORs? - Fan-in and fan-out? - How wide should transistors be? q These choices affect speed, area, power q Logic synthesis makes these choices for you - Good enough for many applications - Hand-crafted circuits are still better

29 Example: Carry Logic q assign cout = (a&b) (a&c) (b&c); a b a c b c g1 g2 g3 x y z g4 cout a a p1 c c n1 b p3 n3 b p2 i3 i1 n2 b a a b p4 i4 p5 cn n5 i2 n4 p6 n6 cout Transistors? Gate Delays?

30 Gate Level Netlist module carry(input a, b, c, output cout) wire x, y, z; and g1(x, a, b); and g2(y, a, c); and g3(z, b, c); or g4(cout, x, y, z); endmodule a b a c b c g1 g2 g3 x y z g4 cout

31 Transistor Level Netlist module carry(input a, b, c, output cout) wire i1, i2, i3, i4, cn; tranif1 n1(i1, 0, a); tranif1 n2(i1, 0, b); tranif1 n3(cn, i1, c); tranif1 n4(i2, 0, b); tranif1 n5(cn, i2, a); tranif0 p1(i3, 1, a); tranif0 p2(i3, 1, b); tranif0 p3(cn, i3, c); tranif0 p4(i4, 1, b); tranif0 p5(cn, i4, a); tranif1 n6(cout, 0, cn); tranif0 p6(cout, 1, cn); endmodule a a p1 c c n1 b p3 n3 b p2 i3 i1 n2 b a a b p4 i4 p5 cn n5 i2 n4 p6 n6 cout

32 SPICE Netlist.SUBCKT CARRY A B C COUT VDD GND MN1 I1 A GND GND NMOS W=1U L=0.18U AD=0.3P AS=0.5P MN2 I1 B GND GND NMOS W=1U L=0.18U AD=0.3P AS=0.5P MN3 CN C I1 GND NMOS W=1U L=0.18U AD=0.5P AS=0.5P MN4 I2 B GND GND NMOS W=1U L=0.18U AD=0.15P AS=0.5P MN5 CN A I2 GND NMOS W=1U L=0.18U AD=0.5P AS=0.15P MP1 I3 A VDD VDD PMOS W=2U L=0.18U AD=0.6P AS=1 P MP2 I3 B VDD VDD PMOS W=2U L=0.18U AD=0.6P AS=1P MP3 CN C I3 VDD PMOS W=2U L=0.18U AD=1P AS=1P MP4 I4 B VDD VDD PMOS W=2U L=0.18U AD=0.3P AS=1P MP5 CN A I4 VDD PMOS W=2U L=0.18U AD=1P AS=0.3P MN6 COUT CN GND GND NMOS W=2U L=0.18U AD=1P AS=1P MP6 COUT CN VDD VDD PMOS W=4U L=0.18U AD=2P AS=2P CI1 I1 GND 2FF CI3 I3 GND 3FF CA A GND 4FF CB B GND 4FF CC C GND 2FF CCN CN GND 4FF CCOUT COUT GND 2FF.ENDS

33 q Floorplan q Standard cells - Place & route q Datapaths - Slice planning q Area estimation Physical Design

34 MIPS Floorplan

35 MIPS Layout

36 Synthesized Controller q Synthesize HDL into gate-level netlist q Place & Route using standard cell library

37 Design Verifica:on q Fabrication is slow & expensive q Debugging chips is very hard - Limited visibility into operation q Prove design is right before building! - Logic simulation - Ckt. simulation / formal verification - Layout vs. schematic comparison - Design & electrical rule checks q Verification is > 50% of effort on most chips! Specification Architecture Design Logic Design = = Function Function = Function Circuit Design = Function Timing Power Physical Design

38 VLSI Flow q Design Compiler (DC) Synopsys tool Design Compiler op:mizes designs to provide the smallest and fastest logical representa:on of a given func:on. It comprises tools that synthesize your HDL descrip:ons into op:mized, technology-dependent, gate-level designs.

39 DC Converts a design descrip:on wrisen in a HDL, into an op:mized gate-level netlist mapped to a specific logic library. When the synthesized design meets func:onality, :ming, power, and other design goals, you can pass the design to IC Compiler for physical implementa:on.

40 Liberty Timing File(LIB) The.lib file is an ASCII representa:on of the :ming and power parameters associated with any cell in a par:cular semiconductor technology. The :ming and power parameters are obtained by simula:ng the cells under a variety of condi:ons and the data is represented in the.lib format. The.lib file contains :ming models and data to calculate: I/O delay paths Timing check values Interconnect delays I/O path delays and :ming check values are computed on a per-instance basis. Path delays in a circuit depend upon the electrical behavior of interconnects between cells. This parasi:c informa:on can be based on the layout of the design, but must be es:mated when no layout informa:on is available. Also it is not possible to predict the process, voltage and temperature varia:ons and dera:ng factors can be included to compensate for these varia:ons.

41 Liberty Timing File(LIB) Cell-based delay calcula:on is modeled by characterizing cell delay and output transi:on :me (output slew) as a func:on of input transi:on :me (input slew) and the capaci:ve load on the output of the cell. Timing checks are also func:ons of input slew and output capaci:ve load. Each cell has a specific number of input-to-output paths A B C Z Path delays can be described for each input signal transi:on that affects an output signal The path delay can also depend on signals at other inputs (state dependencies) In many sequen:al cells, the path delay from an input pin to an output pin can depend on the path delay from another output pin to this output pin

42 Liberty Timing File(LIB) Cell-based delay calcula:on is modeled by characterizing cell delay and output transi:on :me (output slew) as a func:on of input transi:on :me (input slew) and the capaci:ve load on the output of the cell. Timing checks are also func:ons of input slew and output capaci:ve load. Each cell has a specific number of input-to-output paths A B C Z Path delays can be described for each input signal transi:on that affects an output signal The path delay can also depend on signals at other inputs (state dependencies) In many sequen:al cells, the path delay from an input pin to an output pin can depend on the path delay from another output pin to this output pin

43 Liberty Timing File(LIB) Delay,Power, Timing Checks Input Slew Output Capacitance Lookup-table (non-linear delay) model.

44 Liberty Timing File(LIB) Delay,Power, Timing Checks Input Slew Output Capacitance Lookup-table (non-linear delay) model.

45 Parameter Varia:on q Transistors have uncertainty in parameters Process: L eff, V t, t ox of nmos and pmos Vary around typical (T) values q Fast (F) L eff : short V t : low t ox : thin q Slow (S): opposite q Not all parameters are independent for nmos and pmos slow fast pmos SF SS TT FF FS slow nmos fast

46 Environmental Varia:on q V DD and T also vary in time and space q Fast: V DD : high T: low Corner Voltage Temperature F C T C S C

47 Process Corners q Process corners describe worst case variations - If a design works in all corners, it will probably work for any variation. q Describe corner with four letters (T, F, S) - nmos speed - pmos speed - Voltage - Temperature

48 Important Corners q Some critical simulation corners include Purpose nmos pmos V DD Temp Cycle time S S S S Power F F F F Subthreshold leakage F F F S

49 Design Objects

50 Top Level Par::oning

51 Design Environment Before a design can be op:mized, you must define the environment in which the design is expected to operate. You define the environment by specifying opera:ng condi:ons, system interface characteris:cs, and wire load models. Opera:ng condi:ons include temperature, voltage, and process varia:ons. System interface characteris:cs include input drivers, input and output loads, and fanout loads. The environment model directly affects design synthesis results.

52 Drive Characteris:cs To determine the delay and transi:on :me characteris:cs of incoming signals, Design Compiler needs informa:on about the external drive strength and the loading at each input port. Drive strength is the reciprocal of the output drive resistance, and the transi:on delay at an input port is the product of the drive resistance and the capacitance load of the input port. Design Compiler uses drive strength informa:on to buffer nets appropriately in the case of a weak driver. By default, Design Compiler assumes zero drive resistance on input ports, meaning infinite drive strength.

53 Drive Characteris:cs By default, Design Compiler assumes zero capaci:ve load on input and output ports.

54 Wire Load Models Wire load models es:mate the effect of wire length and fanout on the resistance, capacitance, and area of nets. Design Compiler uses these physical values to calculate wire delays and circuit speeds. Semiconductor vendors develop wire load models, based on sta:s:cal informa:on specific to the vendors process. The models include coefficients for area, capacitance, and resistance per unit length, and a fanout-to-length table for es:ma:ng net lengths (the number of fanouts determines a nominal length).

55 Design Rule Constraints

56 Design Rule Constraints

57 Fabrica:on & Packaging q Tapeout final layout q Fabrication - 6, 8, 12 wafers - Optimized for throughput, - not latency (10 weeks!) - Cut into individual dice q Packaging - Bond gold wires from die I/O pads to package

58 Tes:ng q Test that chip operates - Design errors - Manufacturing errors q A single dust particle or wafer defect kills a die - Yields from 90% to < 10% - Depends on die size, maturity of process - Test each part before shipping to customer

59 MIPS R3000 Processor q 32-bit 2 nd generation commercial processor (1988) q Led by John Hennessy (Stanford, MIPS Founder) q KB Caches q 1.2 µm process q 111K Transistors q Up to MHz q 66 mm 2 die q 145 I/O Pins q V DD = 5 V q 4 Watts q SGI Workstations

60 Transistor as Switches q We can view MOS transistors as electrically controlled switches q Voltage at gate controls path from source to drain g = 0 g = 1 nmos g d s d s OFF d s ON pmos g d s d s ON d s OFF

61 CMOS Inverter A Y 0 1 V DD 1 0 A Y A Y GND

62 CMOS NAND Gate A B Y Y A B

63 CMOS NOR Gate A B Y A B Y

64 Complementary CMOS q Complementary CMOS logic gates - nmos pull-down network - pmos pull-up network - a.k.a. static CMOS pmos pull-up network inputs output Pull-up OFF Pull-down OFF Z (float) 1 Pull-up ON nmos pull-down network Pull-down ON 0 X (crowbar)

65 Series and Parallel q nmos: 1 = ON q pmos: 0 = ON q Series: both must be ON q Parallel: either can be ON (a) g1 g2 a b a a a a b b b b OFF OFF OFF ON a a a a a g g2 b 0 b 1 b 0 b 1 b (b) ON OFF OFF OFF a a a a a g1 g b b b b b (c) OFF ON ON ON a a a a a g1 g b b b b b (d) ON ON ON OFF

66 Conduc:on Complement q Complementary CMOS gates always produce 0 or 1 q Ex: NAND gate - Series nmos: Y=0 when both inputs are 1 - Thus Y=1 when either input is 0 - Requires parallel pmos q Rule of Conduction Complements - Pull-up network is complement of pull-down - Parallel -> series, series -> parallel A B Y

67 Signal Strength q Strength of signal - How close it approximates ideal voltage source q V DD and GND rails are strongest 1 and 0 q nmos pass strong 0 - But degraded or weak 1 q pmos pass strong 1 - But degraded or weak 0 q Thus nmos are best for pull-down network

68 Pass Transistors q Transistors can be used as switches s g d s s g = 0 g = 1 d d Input g = 1 Output 0 strong 0 g = 1 1 degraded 1 g s g = 0 d Input g = 0 Output 0 degraded 0 s d s g = 1 d 1 g = 0 strong 1

69 Transmission Gates q Pass transistors produce degraded outputs q Transmission gates pass both 0 and 1 well a g gb b g = 0, gb = 1 a b g = 1, gb = 0 a b Input Output g = 1, gb = 0 0 strong 0 g = 1, gb = 0 1 strong 1 a g b a g b a g b gb gb gb

70 Tristates q Tristate buffer produces Z when not enabled EN A Y 0 0 Z 0 1 Z A EN Y A EN Y EN

71 Non-restoring Tristate q Transmission gate acts as tristate buffer - Only two transistors - But non-restoring Noise on A is passed on to Y EN A Y EN

72 Tristate Inverter q Tristate inverter produces restored output - Violates conduction complement rule - Because we want a Z output A A A EN EN Y Y Y EN = 0 Y = 'Z' EN = 1 Y = A

73 Mul:plexers q 2:1 multiplexer chooses between two inputs S D1 D0 Y S 0 X X X 0 D0 D1 0 1 Y 1 1 X 1

74 Gate-Level Mux Design q How many transistors are needed? 20 Y = SD + SD 1 0 (too many transistors)

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline q A Brief History q CMOS Gate esign q Pass Transistors q CMOS Latches & Flip-Flops q Standard Cell Layouts q Stick iagrams 2 A Brief History q 1958: First integrated

More information

Lecture 4: Implementing Logic in CMOS

Lecture 4: Implementing Logic in CMOS Lecture 4: Implementing Logic in CMOS Mark Mcermott Electrical and Computer Engineering The University of Texas at ustin Review of emorgan s Theorem Recall that: () = + and = ( + ) (+) = and + = ( ) ()

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

ECE 425 Midterm Overview. Fall 2017

ECE 425 Midterm Overview. Fall 2017 ECE 425 Midterm Overview Fall 2017 Overview q Midterm (20% of total grade) Oct 24 th 3:30-5:00pm in class q Materials Lecture 1 through 11 MP0, MP1 HW1, HW2 Practice exam q Rules 1 page of cheat sheet,

More information

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects Lecture 5 MOS Inverter: Switching Characteristics and Interconnection Effects Introduction C load = (C gd,n + C gd,p + C db,n + C db,p ) + (C int + C g ) Lumped linear capacitance intrinsic cap. extrinsic

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. CMOS Design Multi-input delay analysis. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics CMO Design Multi-input delay analysis pring 25 Transmission Gate OUT Z OUT Z pring 25 Transmission Gate OUT When is low, the output is at high impedance When is high, the output follows However,

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Where are we? Data Path Design

Where are we? Data Path Design Where are we? Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath Data Path Design

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

EE194-EE290C. 28 nm SoC for IoT

EE194-EE290C. 28 nm SoC for IoT EE194-EE290C 28 nm SoC for IoT Ref: Communic:on Systems y A. Bruce Crlson, Pul B. Crilly nd Jnet C. Rutledge CMOS VLSI Design y Neil H. Weste nd Dvid Money Hrris Timing Lirry Formt Reference, Cdence Design

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 18 CMOS Sequential Circuits - 1 guntzel@inf.ufsc.br

More information

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan Where are we? Data Path Design Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Designing Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

Repor4ng Quality of Results

Repor4ng Quality of Results Analyzing Timing A,er you set the 4ming constraints such as clocks, input delays, and output delays, it is a good idea to use the check_4ming command to check for 4ming setup problems and 4ming condi4ons

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

VLSI Design. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1

VLSI Design. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1 VLSI Design Adder Design [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1 Major Components of a Computer Processor Devices Control Memory Input Datapath

More information

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Signature: EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Robert Dick Show your work. Derivations are required for credit; end results are insufficient. Closed book. You may use

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Intro To Digital Logic

Intro To Digital Logic Intro To Digital Logic 1 Announcements... Project 2.2 out But delayed till after the midterm Midterm in a week Covers up to last lecture + next week's homework & lab Nick goes "H-Bomb of Justice" About

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Clock Strategy. VLSI System Design NCKUEE-KJLEE Clock Strategy Clocked Systems Latch and Flip-flops System timing Clock skew High speed latch design Phase locked loop ynamic logic Multiple phase Clock distribution Clocked Systems Most VLSI systems are

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 7, 2002 Name: No. Points Score 1. 18 2. 22 3. 30 TOTAL / 70 In recognition of and in the spirit of the Stanford University Honor Code, I certify

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7 EECS 427 Lecture 8: dders Readings: 11.1-11.3.3 3 EECS 427 F09 Lecture 8 1 Reminders HW3 project initial proposal: due Wednesday 10/7 You can schedule a half-hour hour appointment with me to discuss your

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16]

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16] Code No: RR420203 Set No. 1 1. (a) Find g m and r ds for an n-channel transistor with V GS = 1.2V; V tn = 0.8V; W/L = 10; µncox = 92 µa/v 2 and V DS = Veff + 0.5V The out put impedance constant. λ = 95.3

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VII Timing Issues in Digital Circuits (chapter 10 in textbook) GMU, ECE 680 Physical VLSI Design 1 Synchronous Timing (Fig. 10 1) CLK In R Combinational 1 R Logic 2

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Lecture 9: Sequential Logic Circuits. Reading: CH 7

Lecture 9: Sequential Logic Circuits. Reading: CH 7 Lecture 9: Sequential Logic Circuits Reading: CH 7 Sequential Logic FSM (Finite-state machine) Inputs Current State COMBINATIONAL LOGIC Registers Outputs = f(current, inputs) Next state 2 storage mechanisms

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Dynamic Combinational Circuits. Dynamic Logic

Dynamic Combinational Circuits. Dynamic Logic Dynamic Combinational Circuits Dynamic circuits Charge sharing, charge redistribution Domino logic np-cmos (zipper CMOS) Krish Chakrabarty 1 Dynamic Logic Dynamic gates use a clocked pmos pullup Two modes:

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

Floating Point Representation and Digital Logic. Lecture 11 CS301

Floating Point Representation and Digital Logic. Lecture 11 CS301 Floating Point Representation and Digital Logic Lecture 11 CS301 Administrative Daily Review of today s lecture w Due tomorrow (10/4) at 8am Lab #3 due Friday (9/7) 1:29pm HW #5 assigned w Due Monday 10/8

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Hold Time Illustrations

Hold Time Illustrations Hold Time Illustrations EE213-L09-Sequential Logic.1 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential Logic.2 Pingqiang, ShanghaiTech, 2018 Hold Time Illustrations EE213-L09-Sequential

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Designing Combinational Logic Circuits Fuyuzhuo School of Microelectronics,SJTU Introduction Digital IC Dynamic Logic Introduction Digital IC 2 EE141 Dynamic

More information

Lecture 14: Circuit Families

Lecture 14: Circuit Families Introduction to CMOS VLSI Design Lecture 4: Circuit Families David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Pseudo-nMOS Logic q Dynamic Logic q

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Chapter 1 :: From Zero to One

Chapter 1 :: From Zero to One Chapter 1 :: From Zero to One Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Copyright 2007 Elsevier 1- Chapter 1 :: Topics Background The Game Plan The Art of Managing

More information

Static CMOS Circuits. Example 1

Static CMOS Circuits. Example 1 Static CMOS Circuits Conventional (ratio-less) static CMOS Covered so far Ratio-ed logic (depletion load, pseudo nmos) Pass transistor logic ECE 261 Krish Chakrabarty 1 Example 1 module mux(input s, d0,

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006 Managing Physical Design Issues in ASI Toolflows 6.375 omplex Digital Systems hristopher Batten February 1, 006 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock

More information