ECE223. R eouven Elbaz Office room: DC3576

Size: px
Start display at page:

Download "ECE223. R eouven Elbaz Office room: DC3576"

Transcription

1 ECE223 R eouven Elz reouven@uwterloo.c Office room: DC3576

2 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

3 Decoders An n-to-m (m 2 n ) decoder converts inry informtion from n input lines (n-it coded informtion) to mximum of 2 n unique output lines. I 2 3-to-8 Decoder How? It t genertes e ll the minterms of the n input vriles (to hve exctly one of the outputs t 1 for ech comintion of inputs) O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7

4 Exmple: 3-to-8 Decoder c O 0 O 1 O 2 O 3 O 4 O 5 O 6 O O O O 0 = c c 3-to-8 Decoder O 2 O 3 O 4 O 5 O 6 O 7 c c O 1 = c O 2 = c O 3 = c O 4 = c O 5 = c O 6 = c O 7 = c

5 Decoder with Enle Input O 0 e O 0 O 1 O 2 O to-4 Decoder O 1 O X X O 3 e O 0 = e O 1 = e O 2 = e O 3 = e e

6 How to construct 3-to-8 Decoder from 2-to-4 Decoders with Enle? O 0 e 2-to-4 Decoder 2-to-4 Decoder O 1 O 2 O 3 O 4 O 5 O 6 e O 0 O 1 O 2 O 3 O 4 O 5 O 6 O O 7

7 VHDL Exmple entity DECODER2-to-4 is port( I: in std_logic_vector(1 downto 0); O: out std_logic_vector(3 downto 0)); end DECODER2-to-4; rchitecture ehv of DECODER2-to-4 is egin cse I is when "00" => O <= "0001"; when "01" => O <= "0010"; when "10" => O <= "0100"; when "11"" => O <= "1000";" when others => O <= 0000"; end cse; end; 2-to-4 Decoder 2-to-4 Decoder O 0 O 1 O 2 O 3 I O ( ) ( O 1 O 2 O 3 O 4 ) 2 4

8 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

9 Multiplexers A 2 n -to-1 multiplexer selects one of its 2 n input vlues nd outputs it dt selector. How? Using control signls tht encode the position of the trgeted input I 2 I 3 I 4 I 5 I 6 8-to-1 Output I 7 How mny control signls? For 2 n inputs, n control signls c

10 2-to-1 Multiplexer Output = + 2-to-1 Output 0 1 Output

11 4-to-1 Multiplexer Output = I + I + I + I I 2 4-to-1 Output I 3 I 2 ' ' Output 0 I I I 3

12 Generl Cse: 2 n -to-1 multiplexer Output = n 2 1 k =0 m k I k I 2 2 n -to-1 Output I 2 n S 0 S 1 S n Minterm k of the n control signls Input designted y the minterm k

13 4-to-1 Multiplexer with Enle I 2 4-to-1 Output I 3 e e Output I I 3 0 X X 0

14 How to construct 8-to-1 Mux from 4-to-1 Muxes with Enle? O 1 e O 1 O 2 Y I 2 I 3 4-to e I 4 Or Y I 2 0 I I 3 0 I I 4 I 4 I 5 I I6 4-to I 5 I 5 O I 6 I I 7 I 7 I 7

15 VHDL Exmple entity Mux4-to-1 is port( I0, I1, I2, I3: in std_logic; CTRL: in std_logic_vector(1 downto 0); Output: out std_logic ); end Mux4-to-1; rchitecture ehv of Mux4-to-1 is egin cse CTRL is when "00" => Output <= I0; when "01" => Output <= I1; when "10" => Output <= I2; when "11" => Output <= I3; when others => Output <= I1; end cse; end; I 2 4-to-1 I 3 2 CTRL Output

16 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

17 From Decoder to Multiplexer O 0 O 1 O 2 O Output I I 3 O 0 O 1 2-to-4 Decoder O 2 O 3 I 2 I 3 4-to-1 Output Output = + + I 2 + I 3 O 0 = O 1 = O 2 = O 3 = I 2 I 3 Or Output

18 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers constructed from 3-stte uffers VHDL Exmple

19 3-stte Gtes Component exhiiting three sttes: Logic 1 nd logic 0 sttes s in conventionl gtes High impednce Z stte. Wht is high impednce? (1) The gte ehves like n open circuit Output disconnected (2) The circuit hs no logic significnce (3) The circuit connected to 3-stte gte in high impednce is not ffected y the inputs to the gte. 3-stte uffer: y = if s = 1 Z if s = 0 s

20 Multiplexers constructed from 3-stte uffers 2-to-1 Mux 4-to-1 Mux 2-to-1 s y s y 0 1 c d 4-to-1 y s 0 s 1 y c 1 1 d 1 y s 0 s 1 y 2 s 0 s 1 2-to-4 Decoder c s d

21 VHDL Exmple entity 3-stte_uffer is port( : in std_logic_vector(7 downto 0); s: in std_logic; y: out std_logic_vector(7 downto 0)); end 3-stte_uffer; rchitecture ehv of 3-stte_uffer is egin if s = '1' then y <= ; else y <= "ZZZZZZZZ"; end if; end; s 8 8 y = if s = 1 Z if s = 0

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic.

Acknowledgment. DLD Lab. This set of slides on VHDL are due to Brown and Vranesic. Acknowledgment DLD Lab Thi et o lide on VHDL are due to Brown and Vraneic. Introduction to VHDL (Very High Speed Integrated Circuit Hardware Decription Language) 2 3 A imple logic unction and correponding

More information

expression simply by forming an OR of the ANDs of all input variables for which the output is

expression simply by forming an OR of the ANDs of all input variables for which the output is 2.4 Logic Minimiztion nd Krnugh Mps As we found ove, given truth tle, it is lwys possile to write down correct logic expression simply y forming n OR of the ANDs of ll input vriles for which the output

More information

Problem Set 6 Solutions

Problem Set 6 Solutions CS/EE 260 Digital Computers: Organization and Logical Design Problem Set 6 Solutions Jon Turner Quiz on 2/21/02 1. The logic diagram at left below shows a 5 bit ripple-carry decrement circuit. Draw a logic

More information

Experiment 4 Decoder Encoder Design using VHDL

Experiment 4 Decoder Encoder Design using VHDL Objective: Experiment 4 Decoder Encoder Design using VHDL To learn how to write VHDL code To Learn how to do functional simulation To do study of the synthesis done by VHDL and the theoretical desin obtained

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important!

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important! ECE-238L: Computer Logi Design Fll 23 Solutions - Homework (Due dte: Septemer 2th @ 9:3 m) Presenttion nd lrity re very importnt! PROBLEM (5 PTS) ) Simpliy the ollowing untions using ONLY Boolen Alger

More information

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations Introduction: Binding Prt of 4-lecture introduction Scheduling Resource inding Are nd performnce estimtion Control unit synthesis This lecture covers Resources nd resource types Resource shring nd inding

More information

ECE 327 Solution to Midterm 2016t1 (Winter)

ECE 327 Solution to Midterm 2016t1 (Winter) ECE 7 Solution to Midterm 6t (Winter) All requests for re-mrks must be submitted in writing to Mrk Agrd before 8:m on ridy Mrch. A rndom collection of midterms were scnned. Exms tht re submitted for re-mrking

More information

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation CS2N: The Coming Revolution in Computer Architecture Lortory 2 Preprtion Ojectives:. Understnd the principle of sttic CMOS gte circuits 2. Build simple logic gtes from MOS trnsistors 3. Evlute these gtes

More information

Shannon decomposition

Shannon decomposition Shannon decomposition Claude Shannon mathematician / electrical engineer 96 William Sandqvist illiam@kth.se E 8.6 Sho ho a 4-to- multipleer can e used as a "function generator" for eample to generate the

More information

Overview of Today s Lecture:

Overview of Today s Lecture: CPS 4 Computer Orgniztion nd Progrmming Lecture : Boolen Alger & gtes. Roert Wgner CPS4 BA. RW Fll 2 Overview of Tody s Lecture: Truth tles, Boolen functions, Gtes nd Circuits Krnugh mps for simplifying

More information

Shannon decomposition

Shannon decomposition Shannon decomposition Claude Shannon mathematician / electrical engineer 96 William Sandqvist illiam@kth.se E 8.6 Sho ho a 4-to- multipleer can e used as a "function generator" for eample to generate the

More information

Boolean algebra.

Boolean algebra. http://en.wikipedi.org/wiki/elementry_boolen_lger Boolen lger www.tudorgir.com Computer science is not out computers, it is out computtion nd informtion. computtion informtion computer informtion Turing

More information

The area under the graph of f and above the x-axis between a and b is denoted by. f(x) dx. π O

The area under the graph of f and above the x-axis between a and b is denoted by. f(x) dx. π O 1 Section 5. The Definite Integrl Suppose tht function f is continuous nd positive over n intervl [, ]. y = f(x) x The re under the grph of f nd ove the x-xis etween nd is denoted y f(x) dx nd clled the

More information

Let's start with an example:

Let's start with an example: Finite Automt Let's strt with n exmple: Here you see leled circles tht re sttes, nd leled rrows tht re trnsitions. One of the sttes is mrked "strt". One of the sttes hs doule circle; this is terminl stte

More information

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS CS 310 (sec 20) - Winter 2003 - Finl Exm (solutions) SOLUTIONS 1. (Logic) Use truth tles to prove the following logicl equivlences: () p q (p p) (q q) () p q (p q) (p q) () p q p q p p q q (q q) (p p)

More information

We will see what is meant by standard form very shortly

We will see what is meant by standard form very shortly THEOREM: For fesible liner progrm in its stndrd form, the optimum vlue of the objective over its nonempty fesible region is () either unbounded or (b) is chievble t lest t one extreme point of the fesible

More information

Bases for Vector Spaces

Bases for Vector Spaces Bses for Vector Spces 2-26-25 A set is independent if, roughly speking, there is no redundncy in the set: You cn t uild ny vector in the set s liner comintion of the others A set spns if you cn uild everything

More information

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic Elements of Computing Systems, Nisn & Schocken, MIT Press www.idc.c.il/tecs Usge nd Copyright Notice: Boolen Logic Copyright 2005 Nom Nisn nd Shimon Schocken This presenttion contins lecture mterils tht

More information

Network Analysis and Synthesis. Chapter 5 Two port networks

Network Analysis and Synthesis. Chapter 5 Two port networks Network Anlsis nd Snthesis hpter 5 Two port networks . ntroduction A one port network is completel specified when the voltge current reltionship t the terminls of the port is given. A generl two port on

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl systems. It dels with the cse where vriles ssume only one o two vlues: TRUE (usully represented

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1 ELETRIL ND OMPUTER ENGINEERING DEPRTMENT, OKLND UNIVERSIT EE-78: omputer Hrdwre Design Winter 016 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl

More information

1B40 Practical Skills

1B40 Practical Skills B40 Prcticl Skills Comining uncertinties from severl quntities error propgtion We usully encounter situtions where the result of n experiment is given in terms of two (or more) quntities. We then need

More information

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So.

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So. 5/9/ Comintionl Logic ENGG05 st Semester, 0 Dr. Hyden So Representtions of Logic Functions Recll tht ny complex logic function cn e expressed in wys: Truth Tle, Boolen Expression, Schemtics Only Truth

More information

CSCI 340: Computational Models. Transition Graphs. Department of Computer Science

CSCI 340: Computational Models. Transition Graphs. Department of Computer Science CSCI 340: Computtionl Models Trnsition Grphs Chpter 6 Deprtment of Computer Science Relxing Restrints on Inputs We cn uild n FA tht ccepts only the word! 5 sttes ecuse n FA cn only process one letter t

More information

a,b a 1 a 2 a 3 a,b 1 a,b a,b 2 3 a,b a,b a 2 a,b CS Determinisitic Finite Automata 1

a,b a 1 a 2 a 3 a,b 1 a,b a,b 2 3 a,b a,b a 2 a,b CS Determinisitic Finite Automata 1 CS4 45- Determinisitic Finite Automt -: Genertors vs. Checkers Regulr expressions re one wy to specify forml lnguge String Genertor Genertes strings in the lnguge Deterministic Finite Automt (DFA) re nother

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

CSE 140 Lecture 11 Standard Combinational Modules. CK Cheng and Diba Mirza CSE Dept. UC San Diego

CSE 140 Lecture 11 Standard Combinational Modules. CK Cheng and Diba Mirza CSE Dept. UC San Diego CSE 4 Lecture Standard Combinational Modules CK Cheng and Diba Mirza CSE Dept. UC San Diego Part III - Standard Combinational Modules (Harris: 2.8, 5) Signal Transport Decoder: Decode address Encoder:

More information

Unit 3 Session - 9 Data-Processing Circuits

Unit 3 Session - 9 Data-Processing Circuits Objectives Unit 3 Session - 9 Data-Processing Design of multiplexer circuits Discuss multiplexer applications Realization of higher order multiplexers using lower orders (multiplexer trees) Introduction

More information

Chapter 1: Boolean Logic

Chapter 1: Boolean Logic Elements of Computing Systems, Nisn & Schocken, MIT Press, 2005 www.idc.c.il/tecs Chpter 1: Boolen Logic Usge nd Copyright Notice: Copyright 2005 Nom Nisn nd Shimon Schocken This presenttion contins lecture

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

Lecture 6 Regular Grammars

Lecture 6 Regular Grammars Lecture 6 Regulr Grmmrs COT 4420 Theory of Computtion Section 3.3 Grmmr A grmmr G is defined s qudruple G = (V, T, S, P) V is finite set of vribles T is finite set of terminl symbols S V is specil vrible

More information

CSCI 340: Computational Models. Kleene s Theorem. Department of Computer Science

CSCI 340: Computational Models. Kleene s Theorem. Department of Computer Science CSCI 340: Computtionl Models Kleene s Theorem Chpter 7 Deprtment of Computer Science Unifiction In 1954, Kleene presented (nd proved) theorem which (in our version) sttes tht if lnguge cn e defined y ny

More information

Chapter 3 MATRIX. In this chapter: 3.1 MATRIX NOTATION AND TERMINOLOGY

Chapter 3 MATRIX. In this chapter: 3.1 MATRIX NOTATION AND TERMINOLOGY Chpter 3 MTRIX In this chpter: Definition nd terms Specil Mtrices Mtrix Opertion: Trnspose, Equlity, Sum, Difference, Sclr Multipliction, Mtrix Multipliction, Determinnt, Inverse ppliction of Mtrix in

More information

Lecture 7 notes Nodal Analysis

Lecture 7 notes Nodal Analysis Lecture 7 notes Nodl Anlysis Generl Network Anlysis In mny cses you hve multiple unknowns in circuit, sy the voltges cross multiple resistors. Network nlysis is systemtic wy to generte multiple equtions

More information

Lecture 6. Notes. Notes. Notes. Representations Z A B and A B R. BTE Electronics Fundamentals August Bern University of Applied Sciences

Lecture 6. Notes. Notes. Notes. Representations Z A B and A B R. BTE Electronics Fundamentals August Bern University of Applied Sciences Lecture 6 epresenttions epresenttions TE52 - Electronics Fundmentls ugust 24 ern University of pplied ciences ev. c2d5c88 6. Integers () sign-nd-mgnitude representtion The set of integers contins the Nturl

More information

Slides for Lecture 19

Slides for Lecture 19 Slides for Lecture 19 ENEL 353: Digital Circuits Fall 2013 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary 23 October, 2013 ENEL 353

More information

STRUCTURE OF CONCURRENCY Ryszard Janicki. Department of Computing and Software McMaster University Hamilton, ON, L8S 4K1 Canada

STRUCTURE OF CONCURRENCY Ryszard Janicki. Department of Computing and Software McMaster University Hamilton, ON, L8S 4K1 Canada STRUCTURE OF CONCURRENCY Ryszrd Jnicki Deprtment of Computing nd Softwre McMster University Hmilton, ON, L8S 4K1 Cnd jnicki@mcmster.c 1 Introduction Wht is concurrency? How it cn e modelled? Wht re the

More information

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control Outline Lst time: Deriving the Stte Digrm & Dtpth (Cont.) Mpping the Dtpth onto Control This lecture: Comintionl Testility nd Test-pttern Genertion Fults in digitl circuits Wht is test? : Controllility

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

Introduction to Electronic Circuits. DC Circuit Analysis: Transient Response of RC Circuits

Introduction to Electronic Circuits. DC Circuit Analysis: Transient Response of RC Circuits Introduction to Electronic ircuits D ircuit Anlysis: Trnsient esponse of ircuits Up until this point, we hve een looking t the Stedy Stte response of D circuits. StedyStte implies tht nothing hs chnged

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

1.2. Linear Variable Coefficient Equations. y + b "! = a y + b " Remark: The case b = 0 and a non-constant can be solved with the same idea as above.

1.2. Linear Variable Coefficient Equations. y + b ! = a y + b  Remark: The case b = 0 and a non-constant can be solved with the same idea as above. 1 12 Liner Vrible Coefficient Equtions Section Objective(s): Review: Constnt Coefficient Equtions Solving Vrible Coefficient Equtions The Integrting Fctor Method The Bernoulli Eqution 121 Review: Constnt

More information

Quantum Nonlocality Pt. 2: No-Signaling and Local Hidden Variables May 1, / 16

Quantum Nonlocality Pt. 2: No-Signaling and Local Hidden Variables May 1, / 16 Quntum Nonloclity Pt. 2: No-Signling nd Locl Hidden Vriles My 1, 2018 Quntum Nonloclity Pt. 2: No-Signling nd Locl Hidden Vriles My 1, 2018 1 / 16 Non-Signling Boxes The primry lesson from lst lecture

More information

Student Activity 3: Single Factor ANOVA

Student Activity 3: Single Factor ANOVA MATH 40 Student Activity 3: Single Fctor ANOVA Some Bsic Concepts In designed experiment, two or more tretments, or combintions of tretments, is pplied to experimentl units The number of tretments, whether

More information

Digital Control of Electric Drives

Digital Control of Electric Drives igitl Control o Electric rives Logic Circuits - Comintionl Boolen Alger, escription Form Czech Technicl University in Prgue Fculty o Electricl Engineering Ver.. J. Zdenek Logic Comintionl Circuit Logic

More information

ELE B7 Power Systems Engineering. Power System Components Modeling

ELE B7 Power Systems Engineering. Power System Components Modeling Power Systems Engineering Power System Components Modeling Section III : Trnsformer Model Power Trnsformers- CONSTRUCTION Primry windings, connected to the lternting voltge source; Secondry windings, connected

More information

Introduction to Electrical & Electronic Engineering ENGG1203

Introduction to Electrical & Electronic Engineering ENGG1203 Introduction to Electricl & Electronic Engineering ENGG23 2 nd Semester, 27-8 Dr. Hden Kwok-H So Deprtment of Electricl nd Electronic Engineering Astrction DIGITAL LOGIC 2 Digitl Astrction n Astrct ll

More information

Kinematic Waves. These are waves which result from the conservation equation. t + I = 0. (2)

Kinematic Waves. These are waves which result from the conservation equation. t + I = 0. (2) Introduction Kinemtic Wves These re wves which result from the conservtion eqution E t + I = 0 (1) where E represents sclr density field nd I, its outer flux. The one-dimensionl form of (1) is E t + I

More information

The size of subsequence automaton

The size of subsequence automaton Theoreticl Computer Science 4 (005) 79 84 www.elsevier.com/locte/tcs Note The size of susequence utomton Zdeněk Troníček,, Ayumi Shinohr,c Deprtment of Computer Science nd Engineering, FEE CTU in Prgue,

More information

The graphs of Rational Functions

The graphs of Rational Functions Lecture 4 5A: The its of Rtionl Functions s x nd s x + The grphs of Rtionl Functions The grphs of rtionl functions hve severl differences compred to power functions. One of the differences is the behvior

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

Fast Boolean Algebra

Fast Boolean Algebra Fst Boolen Alger ELEC 267 notes with the overurden removed A fst wy to lern enough to get the prel done honorly Printed; 3//5 Slide Modified; Jnury 3, 25 John Knight Digitl Circuits p. Fst Boolen Alger

More information

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment EE273 Lecture 15 Asynchronous Design Novemer 16, 199 Willim J. Dlly Computer Systems Lortory Stnford University illd@csl.stnford.edu 1 Tody s Assignment Term Project see project updte hndout on we checkpoint

More information

Fault Modeling. EE5375 ADD II Prof. MacDonald

Fault Modeling. EE5375 ADD II Prof. MacDonald Fult Modeling EE5375 ADD II Prof. McDonld Stuck At Fult Models l Modeling of physicl defects (fults) simplify to logicl fult l stuck high or low represents mny physicl defects esy to simulte technology

More information

DFA minimisation using the Myhill-Nerode theorem

DFA minimisation using the Myhill-Nerode theorem DFA minimistion using the Myhill-Nerode theorem Johnn Högerg Lrs Lrsson Astrct The Myhill-Nerode theorem is n importnt chrcteristion of regulr lnguges, nd it lso hs mny prcticl implictions. In this chpter,

More information

Designing Information Devices and Systems I Discussion 8B

Designing Information Devices and Systems I Discussion 8B Lst Updted: 2018-10-17 19:40 1 EECS 16A Fll 2018 Designing Informtion Devices nd Systems I Discussion 8B 1. Why Bother With Thévenin Anywy? () Find Thévenin eqiuvlent for the circuit shown elow. 2kΩ 5V

More information

Coalgebra, Lecture 15: Equations for Deterministic Automata

Coalgebra, Lecture 15: Equations for Deterministic Automata Colger, Lecture 15: Equtions for Deterministic Automt Julin Slmnc (nd Jurrin Rot) Decemer 19, 2016 In this lecture, we will study the concept of equtions for deterministic utomt. The notes re self contined

More information

Converting Regular Expressions to Discrete Finite Automata: A Tutorial

Converting Regular Expressions to Discrete Finite Automata: A Tutorial Converting Regulr Expressions to Discrete Finite Automt: A Tutoril Dvid Christinsen 2013-01-03 This is tutoril on how to convert regulr expressions to nondeterministic finite utomt (NFA) nd how to convert

More information

Homework 3 Solutions

Homework 3 Solutions CS 341: Foundtions of Computer Science II Prof. Mrvin Nkym Homework 3 Solutions 1. Give NFAs with the specified numer of sttes recognizing ech of the following lnguges. In ll cses, the lphet is Σ = {,1}.

More information

1. For each of the following theorems, give a two or three sentence sketch of how the proof goes or why it is not true.

1. For each of the following theorems, give a two or three sentence sketch of how the proof goes or why it is not true. York University CSE 2 Unit 3. DFA Clsses Converting etween DFA, NFA, Regulr Expressions, nd Extended Regulr Expressions Instructor: Jeff Edmonds Don t chet y looking t these nswers premturely.. For ech

More information

Riemann is the Mann! (But Lebesgue may besgue to differ.)

Riemann is the Mann! (But Lebesgue may besgue to differ.) Riemnn is the Mnn! (But Lebesgue my besgue to differ.) Leo Livshits My 2, 2008 1 For finite intervls in R We hve seen in clss tht every continuous function f : [, b] R hs the property tht for every ɛ >

More information

Coimisiún na Scrúduithe Stáit State Examinations Commission

Coimisiún na Scrúduithe Stáit State Examinations Commission M 30 Coimisiún n Scrúduithe Stáit Stte Exmintions Commission LEAVING CERTIFICATE EXAMINATION, 005 MATHEMATICS HIGHER LEVEL PAPER ( 300 mrks ) MONDAY, 3 JUNE MORNING, 9:30 to :00 Attempt FIVE questions

More information

Definite Integrals. The area under a curve can be approximated by adding up the areas of rectangles = 1 1 +

Definite Integrals. The area under a curve can be approximated by adding up the areas of rectangles = 1 1 + Definite Integrls --5 The re under curve cn e pproximted y dding up the res of rectngles. Exmple. Approximte the re under y = from x = to x = using equl suintervls nd + x evluting the function t the left-hnd

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Deprtment of Electricl Engineering nd Computer Sciences Eld Alon Homework #3 Solutions EECS4 PROBLEM : CMOS Logic ) Implement the logic function

More information

CS 330 Formal Methods and Models

CS 330 Formal Methods and Models CS 330 Forml Methods nd Models Dn Richrds, George Mson University, Spring 2017 Quiz Solutions Quiz 1, Propositionl Logic Dte: Ferury 2 1. Prove ((( p q) q) p) is tutology () (3pts) y truth tle. p q p q

More information

Section 5.4 Fundamental Theorem of Calculus 2 Lectures. Dr. Abdulla Eid. College of Science. MATHS 101: Calculus 1

Section 5.4 Fundamental Theorem of Calculus 2 Lectures. Dr. Abdulla Eid. College of Science. MATHS 101: Calculus 1 Section 5.4 Fundmentl Theorem of Clculus 2 Lectures College of Science MATHS : Clculus (University of Bhrin) Integrls / 24 Definite Integrl Recll: The integrl is used to find re under the curve over n

More information

Expectation and Variance

Expectation and Variance Expecttion nd Vrince : sum of two die rolls P(= P(= = 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12 P(=2) = 1/36 P(=3) = 1/18 P(=4) = 1/12 P(=5) = 1/9 P(=7) = 1/6 P(=13) =? 2 1/36 3 1/18 4 1/12 5 1/9 6 5/36 7 1/6

More information

Fig. 1. Open-Loop and Closed-Loop Systems with Plant Variations

Fig. 1. Open-Loop and Closed-Loop Systems with Plant Variations ME 3600 Control ystems Chrcteristics of Open-Loop nd Closed-Loop ystems Importnt Control ystem Chrcteristics o ensitivity of system response to prmetric vritions cn be reduced o rnsient nd stedy-stte responses

More information

Reinforcement Learning

Reinforcement Learning Reinforcement Lerning Tom Mitchell, Mchine Lerning, chpter 13 Outline Introduction Comprison with inductive lerning Mrkov Decision Processes: the model Optiml policy: The tsk Q Lerning: Q function Algorithm

More information

SOLVING SYSTEMS OF EQUATIONS, ITERATIVE METHODS

SOLVING SYSTEMS OF EQUATIONS, ITERATIVE METHODS ELM Numericl Anlysis Dr Muhrrem Mercimek SOLVING SYSTEMS OF EQUATIONS, ITERATIVE METHODS ELM Numericl Anlysis Some of the contents re dopted from Lurene V. Fusett, Applied Numericl Anlysis using MATLAB.

More information

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata CS103B ndout 18 Winter 2007 Ferury 28, 2007 Finite Automt Initil text y Mggie Johnson. Introduction Severl childrens gmes fit the following description: Pieces re set up on plying ord; dice re thrown or

More information

Chapter 4: Techniques of Circuit Analysis. Chapter 4: Techniques of Circuit Analysis

Chapter 4: Techniques of Circuit Analysis. Chapter 4: Techniques of Circuit Analysis Chpter 4: Techniques of Circuit Anlysis Terminology Node-Voltge Method Introduction Dependent Sources Specil Cses Mesh-Current Method Introduction Dependent Sources Specil Cses Comprison of Methods Source

More information

CM10196 Topic 4: Functions and Relations

CM10196 Topic 4: Functions and Relations CM096 Topic 4: Functions nd Reltions Guy McCusker W. Functions nd reltions Perhps the most widely used notion in ll of mthemtics is tht of function. Informlly, function is n opertion which tkes n input

More information

Resistors. Consider a uniform cylinder of material with mediocre to poor to pathetic conductivity ( )

Resistors. Consider a uniform cylinder of material with mediocre to poor to pathetic conductivity ( ) 10/25/2005 Resistors.doc 1/7 Resistors Consider uniform cylinder of mteril with mediocre to poor to r. pthetic conductivity ( ) ˆ This cylinder is centered on the -xis, nd hs length. The surfce re of the

More information

I. Theory of Automata II. Theory of Formal Languages III. Theory of Turing Machines

I. Theory of Automata II. Theory of Formal Languages III. Theory of Turing Machines CI 3104 /Winter 2011: Introduction to Forml Lnguges Chpter 16: Non-Context-Free Lnguges Chpter 16: Non-Context-Free Lnguges I. Theory of utomt II. Theory of Forml Lnguges III. Theory of Turing Mchines

More information

Linear Inequalities. Work Sheet 1

Linear Inequalities. Work Sheet 1 Work Sheet 1 Liner Inequlities Rent--Hep, cr rentl compny,chrges $ 15 per week plus $ 0.0 per mile to rent one of their crs. Suppose you re limited y how much money you cn spend for the week : You cn spend

More information

(4.1) D r v(t) ω(t, v(t))

(4.1) D r v(t) ω(t, v(t)) 1.4. Differentil inequlities. Let D r denote the right hnd derivtive of function. If ω(t, u) is sclr function of the sclrs t, u in some open connected set Ω, we sy tht function v(t), t < b, is solution

More information

Preview 11/1/2017. Greedy Algorithms. Coin Change. Coin Change. Coin Change. Coin Change. Greedy algorithms. Greedy Algorithms

Preview 11/1/2017. Greedy Algorithms. Coin Change. Coin Change. Coin Change. Coin Change. Greedy algorithms. Greedy Algorithms Preview Greed Algorithms Greed Algorithms Coin Chnge Huffmn Code Greed lgorithms end to e simple nd strightforwrd. Are often used to solve optimiztion prolems. Alws mke the choice tht looks est t the moment,

More information

FINALTERM EXAMINATION 9 (Session - ) Clculus & Anlyticl Geometry-I Question No: ( Mrs: ) - Plese choose one f ( x) x According to Power-Rule of differentition, if d [ x n ] n x n n x n n x + ( n ) x n+

More information

Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences.

Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences. Lecture 3 Ferury 6 ern University of pplied ciences ev. f57fc 3. We hve seen tht circuit cn hve multiple (n) inputs, e.g.,, C, We hve lso seen tht circuit cn hve multiple (m) outputs, e.g. X, Y,, ; or

More information

5.4 The Quarter-Wave Transformer

5.4 The Quarter-Wave Transformer 3/4/7 _4 The Qurter Wve Trnsformer /.4 The Qurter-Wve Trnsformer Redg Assignment: pp. 73-76, 4-43 By now you ve noticed tht qurter-wve length of trnsmission le ( = λ 4, β = π ) ppers often microwve engeerg

More information

The final exam will take place on Friday May 11th from 8am 11am in Evans room 60.

The final exam will take place on Friday May 11th from 8am 11am in Evans room 60. Mth 104: finl informtion The finl exm will tke plce on Fridy My 11th from 8m 11m in Evns room 60. The exm will cover ll prts of the course with equl weighting. It will cover Chpters 1 5, 7 15, 17 21, 23

More information

CS 373, Spring Solutions to Mock midterm 1 (Based on first midterm in CS 273, Fall 2008.)

CS 373, Spring Solutions to Mock midterm 1 (Based on first midterm in CS 273, Fall 2008.) CS 373, Spring 29. Solutions to Mock midterm (sed on first midterm in CS 273, Fll 28.) Prolem : Short nswer (8 points) The nswers to these prolems should e short nd not complicted. () If n NF M ccepts

More information

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem

CHAPTER * 2-2.* Pearson Education Limited Problem Solutions, Global Edition Chapter 2. Verification of DeMorgan s Theorem HPTER 2 2-.* a) XYZ = X + Y + Z Verification of DeMorgan s Theorem Pearson Education Limited 206. X Y Z XYZ XYZ X + Y + Z 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 b) X + YZ = ( X + Y) ( X + Z) The Second

More information

DIRECT CURRENT CIRCUITS

DIRECT CURRENT CIRCUITS DRECT CURRENT CUTS ELECTRC POWER Consider the circuit shown in the Figure where bttery is connected to resistor R. A positive chrge dq will gin potentil energy s it moves from point to point b through

More information

4.1. Probability Density Functions

4.1. Probability Density Functions STT 1 4.1-4. 4.1. Proility Density Functions Ojectives. Continuous rndom vrile - vers - discrete rndom vrile. Proility density function. Uniform distriution nd its properties. Expected vlue nd vrince of

More information

Discrete Mathematics and Probability Theory Spring 2013 Anant Sahai Lecture 17

Discrete Mathematics and Probability Theory Spring 2013 Anant Sahai Lecture 17 EECS 70 Discrete Mthemtics nd Proility Theory Spring 2013 Annt Shi Lecture 17 I.I.D. Rndom Vriles Estimting the is of coin Question: We wnt to estimte the proportion p of Democrts in the US popultion,

More information

DEFINITION The inner product of two functions f 1 and f 2 on an interval [a, b] is the number. ( f 1, f 2 ) b DEFINITION 11.1.

DEFINITION The inner product of two functions f 1 and f 2 on an interval [a, b] is the number. ( f 1, f 2 ) b DEFINITION 11.1. 398 CHAPTER 11 ORTHOGONAL FUNCTIONS AND FOURIER SERIES 11.1 ORTHOGONAL FUNCTIONS REVIEW MATERIAL The notions of generlized vectors nd vector spces cn e found in ny liner lger text. INTRODUCTION The concepts

More information

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1 Unit 9 Multiplexers, ecoders, and Programmable Logic evices Unit 9 Outline Multiplexers Three state buffers ecoders Encoders Read Only Memories (ROMs) Programmable logic devices ield Programmable Gate

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

Designing Information Devices and Systems I Spring 2018 Homework 8

Designing Information Devices and Systems I Spring 2018 Homework 8 EECS 16A Designing Informtion Devices nd Systems I Spring 2018 Homework 8 This homework is due Mrch 19, 2018, t 23:59. Self-grdes re due Mrch 22, 2018, t 23:59. Sumission Formt Your homework sumission

More information

Lecture 6: Coding theory

Lecture 6: Coding theory Leture 6: Coing theory Biology 429 Crl Bergstrom Ferury 4, 2008 Soures: This leture loosely follows Cover n Thoms Chpter 5 n Yeung Chpter 3. As usul, some of the text n equtions re tken iretly from those

More information

Finite Automata. Informatics 2A: Lecture 3. Mary Cryan. 21 September School of Informatics University of Edinburgh

Finite Automata. Informatics 2A: Lecture 3. Mary Cryan. 21 September School of Informatics University of Edinburgh Finite Automt Informtics 2A: Lecture 3 Mry Cryn School of Informtics University of Edinburgh mcryn@inf.ed.c.uk 21 September 2018 1 / 30 Lnguges nd Automt Wht is lnguge? Finite utomt: recp Some forml definitions

More information

Parse trees, ambiguity, and Chomsky normal form

Parse trees, ambiguity, and Chomsky normal form Prse trees, miguity, nd Chomsky norml form In this lecture we will discuss few importnt notions connected with contextfree grmmrs, including prse trees, miguity, nd specil form for context-free grmmrs

More information

z TRANSFORMS z Transform Basics z Transform Basics Transfer Functions Back to the Time Domain Transfer Function and Stability

z TRANSFORMS z Transform Basics z Transform Basics Transfer Functions Back to the Time Domain Transfer Function and Stability TRASFORS Trnsform Bsics Trnsfer Functions Bck to the Time Domin Trnsfer Function nd Stility DSP-G 6. Trnsform Bsics The definition of the trnsform for digitl signl is: -n X x[ n is complex vrile The trnsform

More information

#6A&B Magnetic Field Mapping

#6A&B Magnetic Field Mapping #6A& Mgnetic Field Mpping Gol y performing this lb experiment, you will: 1. use mgnetic field mesurement technique bsed on Frdy s Lw (see the previous experiment),. study the mgnetic fields generted by

More information

Regular Language. Nonregular Languages The Pumping Lemma. The pumping lemma. Regular Language. The pumping lemma. Infinitely long words 3/17/15

Regular Language. Nonregular Languages The Pumping Lemma. The pumping lemma. Regular Language. The pumping lemma. Infinitely long words 3/17/15 Regulr Lnguge Nonregulr Lnguges The Pumping Lemm Models of Comput=on Chpter 10 Recll, tht ny lnguge tht cn e descried y regulr expression is clled regulr lnguge In this lecture we will prove tht not ll

More information

Signal Flow Graphs. Consider a complex 3-port microwave network, constructed of 5 simpler microwave devices:

Signal Flow Graphs. Consider a complex 3-port microwave network, constructed of 5 simpler microwave devices: 3/3/009 ignl Flow Grphs / ignl Flow Grphs Consider comple 3-port microwve network, constructed of 5 simpler microwve devices: 3 4 5 where n is the scttering mtri of ech device, nd is the overll scttering

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information