Low Power Design Methodologies and Techniques: An Overview

Size: px
Start display at page:

Download "Low Power Design Methodologies and Techniques: An Overview"

Transcription

1 Design Design Methodologies and Techniques: An Overview Department of EE-Systems University of Southern California Los Angeles CA Outline Introduction Analysis/Estimation Techniques Synthesis/Optimization Techniques Summary Page /LP

2 Design What Is the Power Problem? Power: Biggest challenge facing the industry Ö Power goes up 2X per generation for high end CPU /analysis tools are ecellent at enabling tradeoffs Ö But what if performance cannot be traded-off? Ö Need innovative solutions to reduce Power 40 A HP PA A2064A UltraSparc-67 PPro-50 HP PA7200 PPro200 MIPS R0000 Power(W) SuperSparc2-90 PPC PP-66 MIPS R5000 MIPS R4400 PP66 PPC PP-00 PP i486c-33 DX4 00 PPC603e-00 0 i386 i386c-33 '9 '93 '94 '95 '94 '96 [Source: Microprocessor Report] Process Technology Trend Min. Feature Size (μm) Threshold Voltage (V) T o (nm) C interconnect (af/μm) Norminal I on (μa/μm)(nmos/pmos) 600/ / / / / / /280 Ma I off (μa/μm) (For minimum L device) Supply Voltage (V) On-chip across-chip clock freq. (MHz) Off-chip peripheral bus freq. (MHz) 75/ /362 25/464 25/554 50/734 50/93 Usable transistors (millions / cm 2 ) Chip size (cm 2 ) Chip pad count Cost-Perf. Designs - notebooks, desktop personal computers, telecom Data etracted from NTRS 97 /LP Page 2

3 Design Design Technology Trend Power (watt) 000 Vcc (volt) 0 Current (Amp) Process Minimum Geometry (nm) Based on Information provided by Shakhar Borkar, Intel Data etracted from EDAR 99 Eample Applications Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latch-up) Signal Integrity (Switching Noise, DC Voltage Drop) Thermal Design Data Path Clock Where Does the Power Go? Varies from one design to net Memory Control, IO Data Path Clock Memory Control, IO /LP Page 3

4 Design What Has Worked? Voltage and process scaling (3/Generation) Design methodologies Ö Power management through HW/SW, trade area for lower power Architecture Design Power down techniques ÖClock gating, dynamic power management Dynamic voltage scaling based on workload Power conscious RT/ logic synthesis Better cell library design and resizing methods Ö Cap. reduction, threshold control, transistor layout Opportunities for Power Savings System Behavioral RT-Level Logic > 70 % % 25-40% 5-25 % HW/SW Co-design Custom ISA Algorithm Design Communication Synthesis Scheduling, Binding Pipelining Behavioral Transformations Clock Gating, Precomputation Operand Isolation State Assignment, Retiming Logic Restructuring Technology Mapping, Rewiring Pin Ordering & Phase Assignment Physical 0-5 % Savings Fanout Optimization, Buffering Transistor Sizing, Placement Partitioning, Clock Tree Design Glitch Elimination Page 4 /LP

5 Design System Realistic Estimation Epectations Seconds > 50 % Instruction-Level Models IP Core Models Stochastic Models Program Simulation Architectural Minute % Entropic Bounds Architectural Simulation I/O and Memory Accesses RT-Level Minutes 5-30% RT-Level Macromodels HDL Simulation Quick Synthesis Gate Hour 0-20 % Probabilistic Simulation Gate-Level Simulation Sampling and Compaction ASIC Library Models Transistor Hours Speed 5-0 % Error Parasitic Etraction Accurate Timing Analysis Circuit-Level Simulation Sources of Power Consumption in CMOS Power Consumption Active Power Standby Power Short-Circuit Capacitive Subthreshold P-N Junction Interconnect Gate Internal Diffusion /LP Page 5

6 Design Power Dissipation Equations CMOS circuits only dissipate power when node voltages are changing V DD C short circuit charge 2 DD P = 0.5CV fn + Q V fn + I SC DD leak f: frequency of clocking N: number of times gate switches in a clock cycle V DD leakage current Power Estimation Techniques Static (non-simulative) - useful for synthesis and architectural eploration Ö Probability-based Ö Entropy-based Dynamic (simulative) - useful for final power evaluation and validation Ö Direct (flat and hierarchical) Ö Sampling-based Ö Compaction-based Hybrid (high-level simulation + low-level analytical model evaluation) Ö Power macromodels for datapath, control, memory Ö Instruction-level models for microprocessors, DSPs /LP Page 6

7 Design Probabilistic Power Estimation Capture primary input and register output statistics Ö E.g., signal probability, switching activity, spatiotemporal correlation Obtain load capacitances for all nodes Ö Estimate at the RT and logic level Ö Etract after layout Propagate input statistics throughout the circuit Ö Account for reconvergent fanout, Ö Use appropriate delay model Ö Iterate in the case of finite state machines Calculate node power and hence total power Zero Gate Delays 0 g 0 0 g 2 0 f 0 g 0 g 2 0 f g g f 0 Page 7 /LP

8 Design General Gate Delays A gate may switch many times for a vector pair 2 Static Probabilities Define p g as static probability of g = A B C I J K For AND gate: p I = p A p B For OR gate: p J = - ( - p B ) ( - p C ) Above equations assume that A, B and C are uncorrelated Page 8 /LP

9 Design Computing Static Probabilities f = a b + b c Write f as a disjoint sum-of-products epression where each product-term has a null intersection with any other Then, f = a b + a b c p f = p a p b + ( - p a ) p b p c Spatial Correlations A B C I J K p K p I p J since I and J are correlated - both depend on B Need to compute static probabilities taking into account the spatial correlations Page 9 /LP

10 Design Temporal Correlations I J K p K = p I p J For temporally uncorrelated data, sw K = 2 p I p J (- p I p J ) For temporally correlated data, this is not true E.g., every on input I is immediately followed by a 0 Need to compute switching probabilities taking into account the temporal correlations Sequential Circuits Primary Inputs Present State Lines PI PS Combinational Logic FF PO NS Primary Outputs Net State Lines Two issues for sequential circuits: Probability of machine being in a particular state Correlation in time: Given a primary input and present state, net state is uniquely determined by the net state combinational logic Solutions: Solve C-K Equations for calculating steady state probabilities Unroll the state machine Page 0 /LP

11 Design Reducing the Simulation Time RT-Level or Gate-Level Netlist Probabilistic Compaction Compacted Sequence Input Sequence Statistical Sampling Sampled Sequence Event or Cycle-Based Simulation Report Power Library Data Simple Random Sampling (SRS) Input vectors Generate one sample of k units NO Efficiency: depends on population characteristics and sampling procedure Do circuit level simulation Calculate mean power & confidence interval Converged? YES Difficult distributions Report Power /LP Page

12 Design SRS Results C7552 C6288 C535 C3540 C2670 C908 C355 C880 C432 Biased Sequence Random Sequence Stratified Random Sampling (StRS) Estimate the transistor-level power, assuming that the zero-delay power estimate is readily available Input vectors (population) Zero-Delay Simulation of the Entire population Population Stratification Lower sample variance leads to faster convergence Random Sampling and PowerMill Simulation Report Power Convergent? YES NO /LP Page 2

13 Design Sampling on FSMs Method : Functional simulation + sampling on comb. circuit Input Seq. Logic Input Seq. + State Lines Logic FFs Method 2: Do machine warm-up for every sampling unit Warm-up vectors + sampling unit Logic FFs Warm-up sequence length can be quite large Probabilistic Compaction (PC) Sequence compaction : Generate a new, but shorter, input sequence which ehibits nearly the same spatiotemporal correlations as the initial sequence Probabilistic Model in out Initial Seq. Sequence Generation New Seq. Target Circuit /LP Page 3

14 Design PC by Eample Initial sequence Avg. bit activity 23/6 Compacted sequence Avg. bit activity 3 /2 /2 8/5 Stochastic State Machine Model /4 6 /3 /4 7 /2 0 /2 /2 /2 5 /2 2/3 /2 4 /2 Comparison with SRS L = 4,000 Compaction Ratio 0 circuit C6288 C3540 C908 C355 C880 C432 0% 2% 4% 6% 8% SRS Hierarchical /LP Page 4

15 Design Compaction for FSMs Input Sequence Target Circuit n z n = out ( n, s n ) Markov Chain Logic p( n s n ) s n+ = net ( n, s n ) s n FFs Dynamic Markov Trees S = (0000, 000, 00, 00, 00, 00, 00, 00) DMT DMT Upper subtree Lower subtrees /LP Page 5

16 Design Higher Order DMTs A lag-k Markov chain which correctly models the input sequence, also models the joint k-step conditional probabilities of the primary inputs and state lines p(v i ) v i DMT 0 DMT p(v j v i ) v j DMT 2 p(v k v j v i ) v k High Order DMT Results s9234 s820 s5378 s423 s96 shiftreg planet mc dk7 bbara Compaction Ratio 0 Order Order 2 0% 0% 20% 30% 40% 50% 60% /LP Page 6

17 Design Power Characterization Transistor-Level Netlist Gate-Level Netlist SPICE Simulation Event-Driven Simulation SPICE Parameters RT-Level Power/Delay Characterization Data Gate-Level Power/Delay Characterization Data Power Macromodeling Training Set Module Macromodel Equation Form Model Variables Low - Level Simulation Regression Analysis Analytic Model Reduction Macro Models Regression Coefficients /LP Page 7

18 Design Dual Bit Type Model Consider a data path block: Sign bit Pwr = C 0 + C S + C 2 S 2 + C 3 S 3 + C 4 S 4 Module MSB region LSB region S S 2 : avg. switching activity of LSB (MSB) region of operand S 3 S 4 : avg. switching activity of LSB (MSB) region of operand 2 Bitwise Data Model Consider a random logic block: Pwr = C0 + inputs C i S i S i : avg. switching activity of input signal i Module More parameters lead to a higher degree of accuracy, but increase the computational overhead /LP Page 8

19 Design Cycle-Accurate Macro-Models Average Power Peak Power Power Distribution Cycle- Accurate Macro- Models Signal Integrity Switching Noise DC Voltage Drop Macro-Model Construction Starting point: an eact power consumption function Pwr = f ( V, V 2 ) = Order terms Equation simplification + Order terms Order n terms module module module Eact function After order reduction After input grouping /LP Page 9

20 Design Variable reduction: find the most significant variables, by using a statistical test Regression Analysis module After variable reduction Population stratification: makes the macro-model accuracy less sensitive to different input conditions Training set design: reduces the macro-model bias A general linear regression model: Pwr = C0 + k i = C i X i Integrated Macro-Modeling Flow Powermill Netlist Powermill Config. Technology File Input Spatial Correlation Analysis for Primary Inputs Training Set Generation and Simulation Circuit Simulator (Powermill) Program Flow Variable Reduction and Curve Fitting Output Library Generation Macro-model Library /LP Page 20

21 Design Macro-Modeling Results 4 3 EAP (%) 2 0 C355 C908 C2670 C3540 C432 C535 C6288 C7552 Average EAP = 2.03% C880 Mul6 ADD C355 C908 C2670 C3540 C432 C535 C6288 C7552 Average ECP = 0.04% C880 Mul6 ADD6 ECP (%) Adaptive Macro-Modeling Static macro-model Training set Adaptive macro-model Training set Macro-model generation Macro-model Cycle-based simulation Power estimates Low-level simulation Random sampling Macro-model generation Macro-model Cycle-based simulation Power estimates /LP Page 2

22 Design Standard Cell Characterization SPICE Netlist Configuration File Command Scripts Technology Data Input Function Validation Characterization File Generation and Simulation Circuit Simulator (HSPICE) Program Flow Generalized Data Base (Timing, Power, Capacitance, Wire load, etc.) Library Eportation Synopsys Lib. VHDL Simulation Lib. Verilog Simulation Lib. Customized Lib. Output Design Tool Characteristics Accurate model Ö Include both output load (C) and input slope (S) Ö Nonlinear equation f=k 0 +k *C+k 2 *C 2 +k 3 *S+k 4 *C*S Ö Variable domain stratification, different sets of coefficients for different strata Ö Default sensitization method is pin-to-pin, can be etended to pattern-dependent by customization Fast model Ö Small equation form Ö Can be customized to full table-lookup library Easy library maintenance Ö Manage the libraries through Graphic User Interface Ö Generalized data base enables data reuse /LP Page 22

23 Design A Power Estimation Methodology Database Input Vector Sequences Cell Library Interconnect Estimates Multipleers Interconnect Estimate Power Memory Hard IP Blocks Glue Logic Controller Behavioral/RTL Simulation Design Planning Clock Tree Datapath Soft IP Blocks HDL Description Library Models Macromodels Analytic Models Prob. Analysis Low Level Simulation Sampling/Compaction RTL Synthesis Flow RTL Code RTL Synthesis Event or Cycle-Based Simulation Power Macromodeling Switching Activity Calculator RTL netlist Power Optimization Power Optimized Netlist Data Trace for Ports and Registers /LP Page 23

24 Design RTL Optimization for YResource Assignment ÖModule and/or register allocation and binding YClock Gating YState Encoding ÖTwo-level and multi-level logic realizations YMultiple Supply Voltage Scheduling ÖPipelined and non-pipelined designs Register Assignment for Avoid value change at the input of functional units during idle cycles a R 0 R / R 3 R 2 + b R a b a Z = + + c = ( + b) + c 2 R 0 R 3 / R 2 c R 3 + R Z /LP Page 24

25 Design An RTL Structure a b c Cycle R R 2 R 3 / + R 2 / R 3 + R a b b c < a, > a a < R or R3, > < b, > a a + b < + b, > a b a b 2 + < R or R3, > < +, c > 2 OUT Can insert an isolation latch here Z a A RTL Structure Cycle R 0 R R 2 R 3 / + R 0 / R 3 R 2 b c R a a a + b a + b b b c c a a + b a b 2 + < a, > < a, > a < + b, > a < + b, > a < b, > a b < +, c > 2 + OUT Z /LP Page 25

26 Design Module Assignment for O O O 2 3 Do module binding to minimize the input toggling of modules = a + b y = c + d y = e + f y a a b y e + + f y c d + y b + y c + d e y f + y O 3 O O 2 O O 2 O 3 Gated Clocks Disable clocking of input registers if the current instruction does not access it Clock Instruction Register Decode Logic Clock Registers A B ALU /LP Page 26

27 Design Logic Synthesis Flow Gate Netlist Cell Library Logic Synthesis Power Information from Cell Library Mapped Netlist Power Optimization Event or Cycle-Based Simulation Switching Activity Information Power Optimal Netlist Logic Optimization for YCombinational logic optimization ÖTechnology Mapping ÖGate Resizing ÖPin Assignment YSequential logic optimization ÖPrecomputation ÖState Re-encoding ÖPower management for control units Page 27 /LP

28 Design Technology Mapping Outputs of nodes of combinational circuit mapped to library gates under the cost function of load capacitance multiplied by the switching activity To minimize power dissipation, high switching activity points are either hidden within gates or driven by smaller gates Minimum power realization under zero delay model can be obtained using dynamic programming Circuit and Gate Library Gate Area Intrinsic Input Load Capacitances Capacitances INV NAND AOI /LP Page 28

29 Design Minimum Area Mapping AOI Area = = 3248 Power = 0.79 ( ) (0.029) = Note: Ignore capacitances at circuit inputs Minimum Power Mapping WIRE 0.79 Area = 392 * 3 = 476 Power = 0.09 ( ) * (0.42) = /LP Page 29

30 Design Sequential Precomputation Selectively precompute the outputs of the logic circuit one clock cycle before they are required and use the precomputed values to reduce switching activity in the net clock cycle Original Circuit X X 2 X n R A R 2 f Predictor functions: g = g 2 = f = f = 0 /LP Page 30

31 Design Subset Input Disabling Architecture X X 2 X n R A R 3 R 2 LE f g g 2 g = g 2 = f = f = 0 A Comparator Eample C<n-> D<n-> C<n-2> D<n-> C<0> D<0> R C > D R 3 R 2 LE f g = C<n-> D<n-> g 2 = C<n-> D<n-> /LP Page 3

32 Design Layout Optimization for and Noise Floorplanning and/or Placement Gate Resizing Gated Clock Tree Design Driver Design Chip-Package Interface Design Power Bus Planning and Sizing Gated Clock Tree Design Controller - sinks - Steiner points clock tree edges source gate control signals Ö Clock tree : binary tree Ö Controller tree : star tree /LP Page 32

33 Design Clock Tree Layout source Steiner nodes Sinks Merging sectors Ö Use the Deferred Merge Embedding algorithm of to layout the clock tree Ö The bottom-up merging process is guided by the minimum switched capacitance heuristic Ö Top-down phase determines the eact locations of the Steiner nodes in their respective merging sectors Buffered clock tree versus Gated clock tree Switched Capacitance r r2 r3 r4 r5 Buffered Gated Gate Red Area r r2 r3 r4 r5 Ö The average module activity was set to 40% /LP Page 33

34 Design Conclusions Analysis tools : Ö Simulation based techniques provide the best accuracy Ö Transistor level power estimation is mature; a satisfactory gate and RT level simulation engine is needed Ö Probability-based techniques are good for relative accuracy analysis and to guide the synthesis engine Optimization Tools: Ö Gate level optimization / re-mapping provides 20-40% power reduction Ö Behavioral and RTL power synthesis provides 40-60% power reduction Ö System-level optimization provides 2-5 X power reduction Power analysis, tradeoffs and optimizations for design levels higher than RTL are not mature /LP Page 34

EEC 216 Lecture #2: Metrics and Logic Level Power Estimation. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #2: Metrics and Logic Level Power Estimation. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #2: Metrics and Logic Level Power Estimation Rajeevan Amirtharajah University of California, Davis Announcements PS1 available online tonight R. Amirtharajah, EEC216 Winter 2008 2 Outline

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

Power Dissipation. Where Does Power Go in CMOS?

Power Dissipation. Where Does Power Go in CMOS? Power Dissipation [Adapted from Chapter 5 of Digital Integrated Circuits, 2003, J. Rabaey et al.] Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Why Power Matters PE/EE 47, PE 57 VLSI Design I L5: Power and Designing for Low Power Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995 RHK.F95 1 Technology Trends: Microprocessor Capacity 100000000 10000000 Pentium Transistors 1000000

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006 Managing Physical Design Issues in ASI Toolflows 6.375 omplex Digital Systems hristopher Batten February 1, 006 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Lecture 8: Combinational Circuit Design

Lecture 8: Combinational Circuit Design Lecture 8: Combinational Circuit Design Mark McDermott Electrical and Computer Engineering The University of Texas at ustin 9/5/8 Verilog to Gates module mux(input s, d0, d, output y); assign y = s? d

More information

CMOS Logic Gates. University of Connecticut 181

CMOS Logic Gates. University of Connecticut 181 CMOS Logic Gates University of Connecticut 181 Basic CMOS Inverter Operation V IN P O N O p-channel enhancementtype MOSFET; V T < 0 n-channel enhancementtype MOSFET; V T > 0 If V IN 0, N O is cut off and

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

Datapath Component Tradeoffs

Datapath Component Tradeoffs Datapath Component Tradeoffs Faster Adders Previously we studied the ripple-carry adder. This design isn t feasible for larger adders due to the ripple delay. ʽ There are several methods that we could

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL

Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL November 30, 2011 Robert D Angelo Tufts University Electrical and Computer Engineering EE-103 Lab 3: Part I&II Professor: Dr. Valencia

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Reducing power in using different technologies using FSM architecture

Reducing power in using different technologies using FSM architecture Reducing power in using different technologies using FSM architecture Himani Mitta l, Dinesh Chandra 2, Sampath Kumar 3,2,3 J.S.S.Academy of Technical Education,NOIDA,U.P,INDIA himanimit@yahoo.co.in, dinesshc@gmail.com,

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. September 2001 S7C256 5V/3.3V 32K X 8 CMOS SRM (Common I/O) Features S7C256

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Microprocessor Power Analysis by Labeled Simulation

Microprocessor Power Analysis by Labeled Simulation Microprocessor Power Analysis by Labeled Simulation Cheng-Ta Hsieh, Kevin Chen and Massoud Pedram University of Southern California Dept. of EE-Systems Los Angeles CA 989 Outline! Introduction! Problem

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

A novel Capacitor Array based Digital to Analog Converter

A novel Capacitor Array based Digital to Analog Converter Chapter 4 A novel Capacitor Array based Digital to Analog Converter We present a novel capacitor array digital to analog converter(dac architecture. This DAC architecture replaces the large MSB (Most Significant

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Lecture 6: Logical Effort

Lecture 6: Logical Effort Lecture 6: Logical Effort Outline Logical Effort Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary Introduction Chip designers face a bewildering array

More information

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power - Fall 2002 Lecture 7 MOS Capacitances Inverter Delay Power Announcements Wednesday 12-3pm lab cancelled Lab 4 this week Homework 2 due today at 5pm Homework 3 posted tonight Today s lecture MOS capacitances

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Elad Alon 1, Tsu-Jae King Liu 1, Vladimir Stojanovic 2, Dejan Markovic 3 1 University of California, Berkeley 2 Massachusetts

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

Digital Integrated Circuits 2nd Inverter

Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits The Inverter The CMOS Inverter V DD Analysis Inverter complex gate Cost V in V out complexity & Area Integrity and robustness C L Static behavior Performance Dynamic response

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

Design of System Elements. Basics of VLSI

Design of System Elements. Basics of VLSI Design of System Elements Basics of VLSI A Generic Digital Machine MEMORY INPUT-OUT PUT CONTROL DATAPATH Jamadagni H S ITC/V1/2004 2of 50 Building Blocks for Digital Architectures Arithmetic unit Data

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

CMOS Logic Gates. University of Connecticut 172

CMOS Logic Gates. University of Connecticut 172 CMOS Logic Gates University of Connecticut 172 Basic CMOS Inverter Operation V IN P O N O p-channel enhancementtype MOSFET; V T < 0 n-channel enhancementtype MOSFET; V T > 0 If V IN 0, N O is cut off and

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Modeling and Optimization for Soft-Error Reliability of Sequential Circuits

Modeling and Optimization for Soft-Error Reliability of Sequential Circuits 4065 1 Modeling and Optimization for Soft-Error Reliability of Sequential Circuits Natasa Miskov-Zivanov, Student Member, IEEE, Diana Marculescu, Member, IEEE Abstract Due to reduction in device feature

More information

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption Evaluating Power for Altera Devices May 1999, ver. 3 Application Note 74 Introduction A critical element of system reliability is the capacity of electronic devices to safely dissipate the heat generated

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then:

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then: Amdahl's Law Useful for evaluating the impact of a change. (A general observation.) Insight: Improving a feature cannot improve performance beyond the use of the feature Suppose we introduce a particular

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 7, JULY 1999 973 Sequence Compaction for Power Estimation: Theory and Practice Radu Marculescu, Member, IEEE,

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information