Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: )

Size: px
Start display at page:

Download "Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: )"

Transcription

1 PIs: Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: ) Jane P. Chang, Chemical and Biomolecular Engineering, UCLA Graduate Students: Jack Chen, PhD student, Chemical and Biomolecular Engineering, UCLA Nathan Marchack, PhD candidate, Chemical and Biomolecular Engineering, UCLA Undergraduate Students: Michael Paine, UG student, Chemical and Biomolecular Engineering, UCLA Other Researchers: (TBD) 1

2 Objectives Assess the thermodynamic feasibility of patterning etchresistant materials (complex materials and structures) Identify the non-pfc alternative for through silicon via etch Validate the theoretical assessment by performing etching experiments of these materials by industrial sponsors Features Goal Ref. 1 Etch rate 50 um/min I. Sakai, J. Vac. Sci. Technol. A, Aspect ratio (Anisotropy) I. Sakai, IEEE, Side wall angle 90 o ±10 o M. Hooda, J. Vac. Sci. Technol. A, 2010

3 ESH Metrics and Impact 1. Reduction in the use of PFC gases by focusing on non- PFC chemistries 2. Reduction in emission of PFC gases to environment 3. Reduction in the use of chemicals by tailoring the chemistries to the specific materials to be removed 3

4 TSV (Through Silicon Via) TSV for 3D-LSI technology road map [1] From 2-D to 3-D packaging [2] 2-D 3-D According to Moore s Law, the density of IC devices doubles every two years, the 3-D packaging system is required. [1] M. Motoyoshi, IEEE, 2009; [2] P. Garrou, Samsung website, 2010 Advantages: Smaller footprint and form-factor Less weight and power consumption Potentially lower cost

5 Challenges in TSV High etch rate (~1 μm/min) High aspect ratio (>10) [1, 2] Greenhouse gas usage [3] Silicon size (inch) Thickness (um) CO 2 increases gradually Requirement to increase etch rate, increase aspect ratio and reduce the usage of global warming gases [1] M. Motoyoshi, IEEE, 2009; [2] P. Garrou, Samsung website, 2010; [3] NOAA Earth System Research Laboratory, 2012

6 TSV Process Options DRIE (Deep Reactive Ion Etching) [4] Laser drill [5] TSV Processes Bosch DRIE [6] Cryogenic DRIE [7] Laser drill [5] Width <5mm <5mm <15mm Aspect ratio >20:1 >10:1 >7:1 Sidewalls Vertical (90 o ) Vertical &smooth 80 o -90 o Temp. affection Negligible -110 o C ~ -130 o C Yes Process ICP ICP Laser Potential applications High-end High-end Low-cost Example Microprocessors Microprocessors DRAM/Flash As the critical dimension continues to decrease, DRIE becomes the most feasible technology for TSV [4] Applied Materials Inc., website, 2012; [5]C. Tang, J Micromech Microeng,2012; [6] R. Landgraf, IEEE, 2008; [7] M. Walker, Proc. SPIE, 2001

7 Systematic Approach - Thermodynamics Thermodynamic approach can be systematic - If such data is available - NIST-JANAF Thermo-chemical tables - HSC Chemistry for windows, chemical reaction and equilibrium software with extensive thermo-chemical database - FACT, Facility for Analysis of Chemical Thermodynamics - Barin and Knacke tables (thermo-chemical data for pure substances and inorganic substances) - Determination of dominant surface/gas-phase species - Assessment of possible reactions Graphical Representation of thermodynamic analysis - Richardson Ellingham diagram - Pourbaix diagram - Volatility diagram 12

8 A Case Study Cu-Cl of Volatility Diagram [8] 100 o C 150 o C 200 o C Target the volatile species Control pressure, temperature and gas composition [8] N.S. Kulkarni, J. Electrochem. Soc., 2002

9 A Case Study Cu-Cl-H of Volatility Diagram [8] (Cu-Cl-H) Proposed two-step reaction (2002): Log P H2 = -20 Log P H2 = -15 Log P H = -30 Log P H = -25 Log P H2 = -10 Log P H = -20 Log P H2 = -5 1)Cu (c) + 2Cl CuCl 2(c) at low temp 2)3 CuCl 2(c) + 3H Cu 3 Cl 3 + 3HCl Experimentally verified by Hess in 2007/2011 Addition of reactive chemistry (H 2 /H) changed the reaction kinetics and resulted in higher etch rates [8] N.S. Kulkarni, J. Electrochem. Soc., 2002

10 Important Chemistries for Silicon Etch [9] Chemistries Radical Volatile Product Inhibitor H 2 H H Si x F y CH 4 CH 3 CH 2 SiH 4 Si x C y H z F 2 F Si x F y F, NF 2 Si x N y F z F, SiF 3 Si x F y CF 4 F, CF 3 Si x C y F z SF 6 F, SF 5 Si x S y F z S 2 F 2 F, S 2 F Si x S y F z Cl 2 Cl SiCl 4 Cl Br 2 Br SiBr 4 Br CBr 4 Br, CBr 3 SiBr 4 Si x C y Br z, Br Fluorine-based gases remains the most effective chemistry Chemistries Radical Volatile Product Inhibitor CHF 3 CF 2 HF, Si x C y H z CFH, CH 2 F 2 HF Si x C y F z H a C CH2 CH 3 F HF, H CFH 2 Si x C y F z H a COF 2, O 2 F, CF 4 /O 2 F, O, CF 3 OF, F 2, Si x O y F z CHF, HF, CF 4 /H 2 F, H, CF 3 Si x C y F z SF 6 /O 2 SF 5, O, F SOF 4, Si x O y F z SF 6 /H 2 SF 5, H, F HF, Si x S y F z SF 6 /N 2 SF 5, N 2, F Si x S y F z SF 6 /CHF 3 SF 5, F, CF 2 HF, Si x C y F z CBrF 3 F, Br SiBr 4 Si x C y F z Br, Si x C y Br z [9]H. Jansen, J. Mrcromech. Microeng CCl 4 CCl 3, Cl, C SiCl 4 Cl

11 Can SF 6 be replaced for Si etching Chemistries Atmospheric conc. in 2005 (ppt) Con. since 1994* & 1998 (ppt) Annual emission in late 1990s (Gg) Rafactive efficiency (W/m 2 ppbv) Lifetime (year) Global warming potential CO 2 278x x10 6 * - - variable 1 [10] CH 4 7x x10 3 * [10] N 2 O 275x x10 3 * [10] CHClF 2-105x10 3 * [10] CF ~ , [11] CCl 2 F 2-503x10 3 * [10] C 2 F ~ , [11] CHF ~ [11] SF ~ , [11] <0.1 - ~ [11] Ref. GWPs are one type of simplified index based upon radiative properties which estimate the potential future impacts of gases is promising but it is a Greenhouse gas. [10] United Nations Environment Program(UNEP), 2010; [11] W. Tsai, J Hazard Mater, 2008

12 The potential use of in TSV and its reaction products are toxic in Toxic Substances Control Act (TSCA) +2H 2 O 3HF + HNO 2 ; + 3H 2 O 6HF + NO + NO 2 ; 2 + 3H 2 N 2 + 6HF Title Authors Year Journal Citation,the greenhouse gas missing from Kyoto Environmental and health risk analysis of, a toxic and potent greenhouse gas Abatement of Greenhouse Gases [12] : BOE Edwards Thermal Processing System Prather, M.J. and J. Hsu 2010 Tsai, W. T Catalytic Decomposition Systems Geophysical Research Letters Journal of Hazardous Materials Plasma Abatement Systems Not effective in decomposing CF 4 The catalyst lifetime only 18 months For semiconductor industry in plasma SRC/SEMATECH Engineering Research Center for Environmentally Benign etching Semiconductor process and Manufacturing chamber cleaning [12] Vasilis Fthenakis, U.S. DOE, 2001

13 Plasma Torch Abatement of & SF 6 [13] Cbefore Cafter Destruction and removal efficiency (DRE) = 100 C % before 71.6% is a Greenhouse gas but it can be destroyed nearly 100% [13] Yong C. Hong, IEEE, 2005

14 Etch Rate of Si /poly-si * in F-based chemistries Etchant Etch rate Power Pressure (nm/min) (W) (mtorr) Ref SF [g] SF 6 /O 2 (25%) [d] SF 6 /O 2 (25%) [d] SF 6 /O 2 /CHF [c] SF 6 (40sccm)/O 2 (14sccm)/CHF 3 (17sccm) [j] SF 6 (80%)/C 2 Cl 3 F 3 (20%) [i] SF 6 (10%)/CBrF 3 (90%) [h] SF 6 (6sccm)/HBr(10sccm)/Cl 2 (70sccm) * [e] SF 6 (10%)/CBrF 3 (80%)/Ar(10%) [h] CF 4 30 [k] CF 4 * 20 [f] CF 4 /O [k] CF 4 /O [k] CF 4 (90%)/O 2 (12%)/N 2 (8%) * 260 [e] CBrF [h] CBrF [g] F/F [k] /O 2 44 [k]

15 Etch Rate of Si/SiO 2 /Si 3 N 4 /SiC by Etchant Etch rate_si (nm/min) Etch rate_sio 2 (nm/min) Etch rate_si 3 N 4 (nm/min) Etch rate_sic (nm/min) Power Pressure (mtorr) Ref (100%) W/cm [l] (25%)/N W/cm [l] (25%)/Ar W/cm [l] (25%)/He W/cm [l] (25%)/O W/cm [l] (25%)/N 2 O W/cm [l] W 12 [m] /O W 2 [n] (60%)/CH 4 (40%) W 6 [m] (7sccm) W 100 [o] (300sccm) W 1000 [p] (500sccm)/O 2 (50%) W 1000 [p] (200sccm) W 1 [q] (10%)/O 2 (90%) W 1 [q] The addition of O 2 seems to increase Si, SiO 2 and Si 3 N 4 etch rate

16 Silicon Etching by Fluorine Main reactions of silicon etching by F 2 Reactions G(eV) log(k) 1 Si(c) Si Si(c) F 2 SiF Si(c) + F SiF SiF + F SiF SiF 2 + F SiF SiF 3 + F Electron impact dissociate energy of F 2 [14] Reactions G(eV) F 2 + e 2F + e 1.6 Fluorine is the most effective etching chemistry for silicon, especially atomic fluorine, as produced by plasma [14] Parker J., J. Quant. Electron., 1973

17 Production of Fluorine from SF 6 and Thermal Dissociate Rxns G(eV) Log(K) S1 SF 6 SF 5 + F S2 SF 5 SF 4 + F S3 SF 4 SF 3 + F S4 SF 3 SF 2 + F S5 SF 2 SF + F S6 SF S + F Thermal Dissociate Rxns G(eV) Log(K) N1 NF 2 + F N2 NF 2 NF + F N3 NF N + F [CRC handbook, 2010] [CRC handbook, 2010*; Y. Tanaka, IEEE, 1997] Electron Impact Dissociate Rxns G(eV) S1 SF 6 + e SF 5 + F + e 4.00 S2 SF 5 + e SF 4 + F + e 2.27 S3 SF 4 + e SF 3 + F + e 3.47 S4 SF 3 + e SF 2 + F + e 2.92 S5 SF 2 + e SF + F + e 4.01 S6 SF + e S + F + e 3.52 For SF 6, electron impact dissociate energy is comparable and slightly higher than that of equilibrium data (~0.4eV) It is possible that the available equilibrium data on can be used as a guide to the production of fluorine in a plasma

18 Can SF 6 be Replaced by? 400 Si SF 6 4 SiS 2 (c) ΔG = -7.0eV Reactions G(eV) log(k) 1 Si(c) Si Si(c) F 2 + SiF Si(c) SF S 2 Si(c) Si(c) SF S 2 Si(c) SiF Si Si 3 N 4 (c) Δ G = -8.6eV Reactions G(eV) log(k) 1 Si(c) Si Si(c) F 2 SiF Si(c) N 4 Si 3 (c) Si(c) N 4 Si 3 (c) SiF Log P SiF4, SiF 2 (atm) Log P SiF4, SiF 2 (atm) ' 1 Si (c) S 2 Si (c) SiF 2 S 2 Si (c) SiF Si ' Log P SF6 (atm) Si (c) Si 3 N 4 (c) Si 3 N 4 (c) SiF 2 SiF Si Log P NF3 (atm) is more capable of removing silicon via the formation of However, another significant reaction product from reaction with is Si 3 N 4, which has to be removed and competes for fluorine

19 Can SF 6 be Replaced by? 400 Si SF 6 4 SiS 2 (c) + 4F SF 6 ΔG = -7.0eV Δ G = - 1.9eV Reactions G(eV) log(k) 1 Si(c) Si Si(c) F 2 + SiF Si(c) SF S 2 Si(c) Si(c) SF S 2 Si(c) SiF Log P SiF4, SiF 2 (atm) ' 1 Si (c) S 2 Si (c) SiF 2 S 2 Si (c) SiF Si Log P SF6 (atm) Si Si 3 N 4 (c) + 4F Δ G = -8.6eV Δ G = -0.2eV Reactions G(eV) log(k) 1 Si(c) Si Si(c) F 2 SiF Si(c) N 4 Si 3 (c) Si(c) N 4 Si 3 (c) SiF Log P SiF4, SiF 2 (atm) ' Si (c) Si 3 N 4 (c) Si 3 N 4 (c) SiF 2 SiF Si Log P NF3 (atm) is more capable of removing silicon via the formation of However, another significant reaction product from reaction with is Si 3 N 4, which has to be removed and competes for fluorine

20 A Strategy to Remove Si 3 N 4 by O 2 addition Formation of Si 3 N 4 (c) ΔG(eV) Si(c) Si 3 N 4 (c) -8.6 Removal of Si 3 N 4 (c) by F ΔG(eV) 1 6 Si 3 N 4 (c) + 4F Removal of Si 3 N 4 (c) Removal of SiO 2 (c) Total reaction Removal of Si 3 N 4 (c) & SiO 2 (c) by & O 2 ΔG (ev) 1 6 Si 3 N 4 (c)+ 7 6 O SiO 2 (c)+ 2 3 NO SiO 2 (c) NO 2 F+ 1 2 NOF N Si 3 N 4 (c)+ 7 6 O NO NO 2 F+ 1 2 NOF N From the analysis of ΔG, the non-volatile byproducts, Si 3 N 4 could be removed by - O 2 (as a mixture)

21 Surface of Silicon ( /O 2 ) O N 2 N O 2 F 2 F N 2 /N O 2 /O F 2 /F Si Si 3 N 4 Si subtrate SiO 2 Si SiO 2 Si 3 N 4 Gas phase The interaction of N/O/F need to be determined Surface Since Si(c), SiO 2 (c) and Si 3 N 4 (c) are non-volatile compounds, they all need to be removed by the plasma

22 ΔG(eV) [15] NO 2 F NOF Gas phase - O 2 / From the FTIR of /O 2 silicon etching[17], NO 2 F, NOF and NO can be found when the with O 2 addition. The following reactions have been proposed, O + NF x NOF + (x-1)f 2O + NF x NO 2 F + (x-1)f with Oxygen-300K G(eV) log(k) A O + NOF + 2F B O + NF 2 NOF + F C O + NF NOF D 2O + NO 2 F + 2F E 2O + NF 2 NO 2 F + F F 2O + NF NO 2 F K G(eV) log(k) G NF 2 + F H NF 2 NF + F I NF N + F With O 2 addition, [F] is increased and nitrogen forms NOF and NO 2 F which are stable volatile species. [15] NIST-JANAF Thermo-chemical tables, 2012; [17] D. Linaschke, Proc. 23rd Eur. Photovoltaic Solar Energy Conf. Exhib Log P NF3, NF 2, NF, NOF (atm) Significant increase in [F] NF 2 B NF 2 E F NOF NO 2 F NF x D G-H-I Log P O (atm) A

23 Si 3 N 4 -O-300K ΔG (ev) log(k) 1 Si(c) + O SiO SiO 2 (c) SiO + O Si 2 N 2 O(c) Si(c) N O Si 2 N 2 O(c) O SiO 2 (c) N Si 2 N 2 O(c) O SiO N Log P SiO2, Si, SiN, Si 2 N (atm) ΔG(eV) [15] SiO Si 3 N Si(c) Si 2 N 2 O(c) 5 SiO Log P O (atm) SiO 2 (c) Surface of Si 3 N 4 -O & SiO 2 -N SiO 2 -N-300K ΔG (ev) log(k) Si 3 N 4 (c) SiN N SiO 2 (c) + N SiN + O Si 2 N 2 O(c) N 1 3 Si 3 N 4 (c) O Si 2 N 2 O(c) SiN O SiO 2 (c) + N 1 2 Si 2 N 2 O(c) O Log P SiN (atm) SiO 2 (c) SiO 2 (c) is the most stable species in both systems [15] NIST-JANAF Thermo-chemical tables, 2012; [16] Huheey, The Strengths of Chemical Bonds, 1958 Bond Energy(eV) [16] Si-O 4.69 Si-N Si 2 N 2 O(c) 9 SiN Log P N (atm) Si 3 N 4 (c) 6

24 ΔG(eV) [15] SiOF Surface of Si 3 N 4 -F & SiO 2 -F Bond Energy(eV) [16] Si-F 5.86 Si 3 N 4 -F-300K G(eV) log(k) Si 3 N 4 (c) + 4F N Si 3 N 4 (c) + 2F SiF N SiO 2 -F-300K G(eV) log(k) 13 SiO 2 (c) + 4F + O SiO 2 (c) + 2F SiF 2 O O Log P Si, SiN, Si2 N (atm) SiF 2 Si 3 N 4 (c) Log P F (atm) Log P Si, SiOF2, (atm) SiF 2 O SiO 2 (c) 13 Log P F (atm) The pressure of volatile products, SiF 2 and SiF 2 O, are comparable in both systems [15] NIST-JANAF Thermo-chemical tables, 2012; [16] Huheey, The Strengths of Chemical Bonds, 1958

25 Si 3 N 4 -O 2 -F-300K G (ev) log(k) 1 Si(c) O 2 SiO SiO 2 (c) SiO O Si 2 N 2 O(c) Si(c) N O Si 2 N 2 O(c) O 2 SiO 2 (c) N Si 2 N 2 O(c) O 2 SiO N SiO 2 (c) + 4F + O SiO 2 (c) + 2F SiF 2 + O Si 3 N 4 (c) O 2 SiO N Si 3 N 4 (c) O Si 2 N 2 O(c) N Log P SiO2, Si, SiN, Si 2 N (atm) Si(c) Surface of Si 3 N 4 -O 2 -F & SiO 2 -N 2 -F Si 2 N 2 O(c) Si 3 N 4 (c) SiO 2 (c) SiO SiF 2 P F =0.76 torr P F =7.6 mtorr P F =7.6 x mtorr Log P O2 (atm) SiO 2 -N 2 -F-300K G (ev) log(k) Si 3 N 4 (c) SiN N SiO 2 (c) N 2 SiN + O Si 2 N 2 O(c) N Si 3 N 4 (c) O Si 2 N 2 O(c) SiN O SiO 2 (c) N Si 2 N 2 O(c) O Si 2 N 2 O(c) + 4F N Si 2 N 2 O(c) + 2F SiF N Si 3 N 4 (c) + 4F N Si 3 N 4 (c) + 2F SiF N Si 3 N 4 (c) could be oxidized by O 2 and then be removed by F. Log P SiN (atm) P F =0.76 torr P F =7.6 mtorr SiO 2 (c) P F =7.6 x mtorr Log P N2 (atm) 7 Si 2 N 2 O(c) Si 3 N 4 (c) SiF SiN SiF 2 6

26 Summary Gas phase NF 2 NF O + 2F NOF + F NF 2 NF O + 2F NO 2 F + F Surface Si O 2 /O N 2 /N F 2 /F SiO 2 (c) Si 3 N 4 (c) N 2 /N N 2 /N SiOF 2 / SiO 2 (c) SiO 2 (c) F 2 /F F 2 /F Si 3 N 4 (c) SiO 2 (c) The addition of O 2 increase significantly the [F] The removal rate of SiO 2 (c) and Si 3 N 4 (c) by F are comparable could replace the SF 6 with O 2 addition.

27 Reference c. Jansen, H., et al., The Black Silicon Method - a Universal Method for Determining the Parameter Setting of a Fluorine-Based Reactive Ion Etcher in Deep Silicon Trench Etching with Profile Control. Journal of Micromechanics and Microengineering, (2): p d. Mansano, R.D., P. Verdonck, and H.S. Maciel, Deep trench etching in silicon with fluorine containing plasmas. Applied Surface Science, : p e. Yeom, G.Y., Y. Ono, and T. Yamaguchi, Polysilicon Etchback Plasma Process Using Hbr, Cl2, and Sf6 Gas- Mixtures for Deep-Trench Isolation. Journal of the Electrochemical Society, (2): p f. Matsuo, P.J., et al., Role of N-2 addition on CF4/O-2 remote plasma chemical dry etching of polycrystalline silicon. Journal of Vacuum Science & Technology a-vacuum Surfaces and Films, (4): p g. Syau, T., B.J. Baliga, and R.W. Hamaker, Reactive Ion Etching of Silicon Trenches Using Sf6/O-2 Gas- Mixtures. Journal of the Electrochemical Society, (10): p h. Demic, C.P., K.K. Chan, and J. Blum, Deep Trench Plasma-Etching of Single-Crystal Silicon Using Sf6/O2 Gas-Mixtures. Journal of Vacuum Science & Technology B, (3): p i. Yunkin, V.A., D. Fischer, and E. Voges, Highly Anisotropic Selective Reactive Ion Etching of Deep Trenches in Silicon. Microelectronic Engineering, (1-4): p j. Legtenberg, R., et al., Anisotropic Reactive Ion Etching of Silicon Using Sf6/O-2/Chf3 Gas Mixtures. Journal of the Electrochemical Society, (6): p k. Moss, S.J. and A. Ledwith, The chemistry of the semiconductor industry. 1987, Glasgow: Blackie. xiv, 426 p. l. Langan et al., Method for plasma etching or cleaning with diluted NF.sub.3, US Patent , m. Wang, J.J., et al., High rate etching of SiC and SiCN in NF3 inductively coupled plasmas. Solid-State Electronics, (5): p n. Kim, B., et al., Use of a neural network to model SiC etching in a NF3 inductively coupled plasma. Modelling and Simulation in Materials Science and Engineering, (8): p

28 Future Plans Next Year Plans Identify potential low impact gases in target applications Perform thermodynamic calculations to assess potential impact and projected effectiveness Implement target chemistries and carry out plasma etching assessment Long-Term Plans Formulate the models to predict emission from plasma processes Assess the effectiveness of the plasma chemistries compared to that of the PFC gases

29 Publications, Presentations, and Recognitions/Awards Presentation in Gordon Research Conference(GRC), July 2012 Invited talk to AVS International Symposium, October 2012

30 Industrial Interactions and Technology Transfer Video conference to Intel, January 31, 2012 (Karson Knutson, Doosik Kim) Conference call with Novellus, March 2012 (Ron Powell, Roey Shaviv, Juwen Gao) Student interview at IBM, February 29, 2012 Student interview with Intel, March 2012 SRC Industrial Liaison, Satyarth Suri at Intel, April 2012 ERC Webinar, June 2012 Student will have poster in Gordon Research Conference, July, 2012 Conference call with Intel, September 2012 (Satyarth Suri, Bob Turkot) After studying the TSV by detailed thermodynamic analysis, the following research is kinetic measurements.

Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: )

Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: ) PIs: Non-PFC Plasma Chemistries for Patterning Complex Materials/Structures (Task Number: 425.038) Jane P. Chang, Chemical and Biomolecular Engineering, UCLA Graduate Students: Jack Chen, PhD student,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI AT STANFORD Four labs under NNCI Umbrella at Stanford SNSF Stanford

More information

Henri Jansen, Meint de Boer, Rob Legtenberg and Miko Elwenspoek

Henri Jansen, Meint de Boer, Rob Legtenberg and Miko Elwenspoek J. Micromech. Microeng. 5 (1995) 115-120. Printed in the UK The black silicon method: a universal method for determining the parameter setting of a fluorine-based reactive ion etcher in deep silicon trench

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global Warming Abstract of the Report

Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global Warming Abstract of the Report Global Environment Research Coordination System Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global WarmingAbstract of the Report Contact person Shigeru Futamura

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Subtrac(ve Etching of Cu with Hydrogen- Based Plasmas

Subtrac(ve Etching of Cu with Hydrogen- Based Plasmas Subtrac(ve Etching of Cu with Hydrogen- Based Plasmas Fangyu Wu, Galit Levi1n, Dennis W. Hess School of Chemical & Biomolecular Engineering, Georgia Ins1tute of Technology February 22, 2011 Outline Introduc1on

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process) Latifa Louriki 1, *, Peter Staffeld 1, Arnd Kaelberer 1 and Thomas Otto 2 1 Robert Bosch GmbH, Reutlingen D-72762,

More information

Identify the condition that causes a bond in CCl 4 to break in the upper atmosphere. Deduce an equation for the formation of the reactive species.

Identify the condition that causes a bond in CCl 4 to break in the upper atmosphere. Deduce an equation for the formation of the reactive species. Q1.CCl 4 is an effective fire extinguisher but it is no longer used because of its toxicity and its role in the depletion of the ozone layer. In the upper atmosphere, a bond in CCl 4 breaks and reactive

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Peter Bernath. Old Dominion University, Norfolk, VA and University of Waterloo, Waterloo, ON

Peter Bernath. Old Dominion University, Norfolk, VA and University of Waterloo, Waterloo, ON THE ATMOSPHERIC CHEMISTRY EXPERIMENT (ACE): Greenhouse Gas Measurements of CO 2, CFCs and HFCs Peter Bernath Old Dominion University, Norfolk, VA and University of Waterloo, Waterloo, ON ACE Satellite

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma Vol. 117 (2010) ACTA PHYSICA POLONICA A No. 3 Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma R. Knizikevičius Department of Physics, Kaunas University of Technology, 73 K. Donelaičio St., LT-44029

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

AMBIENT INLINE PURIFIER 804 PURIFICATION MEDIA SPECIFICATION

AMBIENT INLINE PURIFIER 804 PURIFICATION MEDIA SPECIFICATION AMBIENT INLINE PURIFIER 804 PURIFICATION MEDIA SPECIFICATION 0 2,000 slpm Ambient Inline Purifiers. For consistent gas quality and Impurity removal to pptv levels. S110-1314_- DCN 6392 1 Specification

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

Copyright Warning & Restrictions

Copyright Warning & Restrictions Copyright Warning & Restrictions The copyright law of the United States (Title 17, United States Code) governs the making of photocopies or other reproductions of copyrighted material. Under certain conditions

More information

AMBIENT INLINE PURIFIER 302 PURIFICATION MEDIA SPECIFICATION

AMBIENT INLINE PURIFIER 302 PURIFICATION MEDIA SPECIFICATION AMBIENT INLINE PURIFIER 302 PURIFICATION MEDIA SPECIFICATION 0 1,000 slpm Ambient Inline Purifiers. For consistent gas quality and Impurity removal to pptv levels. S110-1321_- DCN 6399 1 Specification

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

AMBIENT INLINE PURIFIER 502 PURIFICATION MEDIA SPECIFICATION

AMBIENT INLINE PURIFIER 502 PURIFICATION MEDIA SPECIFICATION AMBIENT INLINE PURIFIER 502 PURIFICATION MEDIA SPECIFICATION 0 125 slpm Ambient Inline Purifiers. For consistent gas quality and Impurity removal to pptv levels. S110-1323_- DCN 6401 1 Specification subject

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

AP Chem Final Practice Questions (Set #1)

AP Chem Final Practice Questions (Set #1) AP Chem Final Practice Questions (Set #1) 1. Which gas is least soluble in water? (A) H 2 (B) CO 2 (C) NH 3 (D) SO 2 2. Identify every process that is a chemical change. 1. cooling 2. evaporating 3. rusting

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Chemistry Lab Fairfax High School Invitational January 7, Team Number: High School: Team Members Names:

Chemistry Lab Fairfax High School Invitational January 7, Team Number: High School: Team Members Names: Chemistry Lab Fairfax High School Invitational January 7, 2017 Team Number: High School: Team Members Names: Reference Values: Gas Constant, R = 8.314 J mol -1 K -1 Gas Constant, R = 0.08206 L atm mol

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

ELECTRON BEAM AND PULSED CORONA PROCESSING OF VOLATILE ORGANIC COMPOUNDS AND NITROGEN OXIDES

ELECTRON BEAM AND PULSED CORONA PROCESSING OF VOLATILE ORGANIC COMPOUNDS AND NITROGEN OXIDES ELECTRON BEAM AND PULSED CORONA PROCESSING OF VOLATILE ORGANIC COMPOUNDS AND NITROGEN OXIDES B. M. Penetrante, M. C. Hsiao, J. N. Bardsley, B. T. Merritt, G. E. Vogtlin and P. H. Wallman Lawrence Livermore

More information

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C. Etching Etching Terminology Etching Considerations or ICs Wet Etching Reactie Ion Etching (plasma etching) 1 Etch Process - Figures o Merit Etch rate Etch rate uniormity Selectiity Anisotropy 2 (1) Bias

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

(a) graph Y versus X (b) graph Y versus 1/X

(a) graph Y versus X (b) graph Y versus 1/X HOMEWORK 5A Barometer; Boyle s Law 1. The pressure of the first two gases below is determined with a manometer that is filled with mercury (density = 13.6 g/ml). The pressure of the last two gases below

More information

10-1 Heat 10-2 Calorimetry 10-3 Enthalpy 10-4 Standard-State Enthalpies 10-5 Bond Enthalpies 10-6 The First Law of Thermodynamics

10-1 Heat 10-2 Calorimetry 10-3 Enthalpy 10-4 Standard-State Enthalpies 10-5 Bond Enthalpies 10-6 The First Law of Thermodynamics Chapter 10 Thermochemistry 10-1 Heat 10-2 Calorimetry 10-3 Enthalpy 10-4 Standard-State Enthalpies 10-5 Bond Enthalpies 10-6 The First Law of Thermodynamics OFB Chap. 10 1 OFB Chap. 10 2 Thermite Reaction

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

CST Review Part 2. Liquid. Gas. 2. How many protons and electrons do the following atoms have?

CST Review Part 2. Liquid. Gas. 2. How many protons and electrons do the following atoms have? CST Review Part 2 1. In the phase diagram, correctly label the x-axis and the triple point write the names of all six phases transitions in the arrows provided. Liquid Pressure (ATM) Solid Gas 2. How many

More information

NNCI Dry Etch Capabilities

NNCI Dry Etch Capabilities NNCI Site Tool Type Gases Application Wafer size Cornell Plasmatherm SF6, C4F8, O2, Ar Deep silicon etch 100mm Versaline ICP Deep Ge etch DSEIII SOI Cornell Unaxis 770 ICP SF6, C4F8, O2, Ar Deep silicon

More information

Modeling of MEMS Fabrication Processes

Modeling of MEMS Fabrication Processes Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007 Spatial

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

University of California, Santa Barbara Santa Barbara, California 93106

University of California, Santa Barbara Santa Barbara, California 93106 HIGH-ASPECT-RATIO INDUCTIVELY COUPLED PLASMA ETCHING OF BULK TITANIUM FOR MEMS APPLICATIONS E. R. Parker 1, M. F. Aimi 2, B. J. Thibeault 3, M. P. Rao 1, and N. C. MacDonald 1,2 1 Mechanical and Environmental

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments 07-Rodrigues-V4 N2-AF 19.08.09 19:41 Page 84 Time-of-Flight Flow Microsensor using Free-Standing Microfilaments Roberto Jacobe Rodrigues 1,2, and Rogério Furlan 3 1 Center of Engineering and Social Sciences,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

ENTHALPY, ENTROPY AND FREE ENERGY CHANGES

ENTHALPY, ENTROPY AND FREE ENERGY CHANGES ENTHALPY, ENTROPY AND FREE ENERGY CHANGES Refer to the following figures for Exercises 1-6. The lines on the vertical axis represent the allowed energies. Assume constant spacing between levels to determine

More information

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp. 483-488 Remote r i l m zi PMMAm Š z Çmk o 200-701 o }e q 2 192-1 (2006 1o 5p r, 2006 6o 16p }ˆ) Influence of Loading Position and Reaction Gas

More information

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.4, AUGUST, 213 http://dx.doi.org/1.73/jsts.213.13.4.39 Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification

More information

Thermodynamics- Chapter 19 Schedule and Notes

Thermodynamics- Chapter 19 Schedule and Notes Thermodynamics- Chapter 19 Schedule and Notes Date Topics Video cast DUE Assignment during class time One Review of thermodynamics ONE and TWO Review of thermo Wksheet Two 19.1-4; state function THREE

More information

CHEMISTRY 107 Section 501 Final Exam Version A December 12, 2016 Dr. Larry Brown

CHEMISTRY 107 Section 501 Final Exam Version A December 12, 2016 Dr. Larry Brown NAME: (print) UIN #: CHEMISTRY 107 Section 501 Final Exam Version A December 12, 2016 Dr. Larry Brown This is a 2-hour exam, and contains 11 problems. There should be 14 numbered pages, including this

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

10-1 Heat 10-2 Calorimetry 10-3 Enthalpy 10-4 Standard-State Enthalpies 10-5 Bond Enthalpies 10-6 The First Law of Thermodynamics

10-1 Heat 10-2 Calorimetry 10-3 Enthalpy 10-4 Standard-State Enthalpies 10-5 Bond Enthalpies 10-6 The First Law of Thermodynamics Chapter 10 Thermochemistry 10-1 Heat 10-2 Calorimetry 10-3 Enthalpy 10-4 Standard-State Enthalpies 10-5 Bond Enthalpies 10-6 The First Law of Thermodynamics OFB Chap. 10 1 Chapter 10 Thermochemistry Heat

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

SUSTAINABILITY MATTERS FACT SHEET 7: THE HOLE IN THE OZONE LAYER

SUSTAINABILITY MATTERS FACT SHEET 7: THE HOLE IN THE OZONE LAYER SUSTAINABILITY MATTERS FACT SHEET 7: THE HOLE IN THE OZONE LAYER What is the ozone layer? Ozone is an allotrope of oxygen, which means it is a pure element, but has a different chemical structure to that

More information

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030

More information

Slide 1 / A gas at a pressure of 10.0 Pa exerts a force of N on an area of 5.5 m 2 A 55 B 0.55 C 5.5 D 1.8 E 18

Slide 1 / A gas at a pressure of 10.0 Pa exerts a force of N on an area of 5.5 m 2 A 55 B 0.55 C 5.5 D 1.8 E 18 Slide 1 / 76 1 A gas at a pressure of 10.0 Pa exerts a force of N on an area of 5.5 m 2 A 55 B 0.55 C 5.5 D 1.8 E 18 Slide 2 / 76 2 A pressure of 1.00 atm is the same as a pressure of of mm Hg. A 193 B

More information

Measurements of Ozone. Why is Ozone Important?

Measurements of Ozone. Why is Ozone Important? Anthropogenic Climate Changes CO 2 CFC CH 4 Human production of freons (CFCs) Ozone Hole Depletion Human production of CO2 and CH4 Global Warming Human change of land use Deforestation (from Earth s Climate:

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information