Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Size: px
Start display at page:

Download "Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System"

Transcription

1 Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp Remote r i l m zi PMMAm Š z Çmk o o }e q (2006 1o 5p r, o 16p }ˆ) Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System Cheonkwang Ko and Wongyu Lee Department of Chemical Engineering, Kangwon National University, 192-1, Hyoja 2-dong, Chunchon, Kangwon , Korea (ReceivedG5 January 2006; accepted 16 June 2006) k h o ql e e rp remote v pn l o l PMMAp e rl l v, p d, v o p l e p r m. v op lv v l p p PMMA e m. v l l p PMMA r, v p v PMMA p v e rp v m. e ~l p kp v l e v m l e p v p k pl. Abstract Etching process of PMMA (Polymethyl Methacrylate) on glass surface was investigated by dry etching technique using remote plasma. To determine the etching characteristics, the remote plasma etching was conducted for various process parameters such as plasma power, reaction gas and distance from plasma generation. As the distance from the plasma generation was increased, the etch rate of PMMA was linearly decreased by radical density in plasma. PMMA has removed by reactive radicals in the plasma. The etch rate increased with plasma power because of more reactive radicals. The etch rate and surface roughness of PMMA increased with O 2 concentration in the etchant. Key words: Remote Plasma, PMMA, Etch Rate, Loading Position 1. ~ pdp v l vd p p ot Ž p n p. pdp m l r t p tn [1]. Ž p ee r l e, e p e ˆ p lk [2, 3]. p e pl RIE(reactive ion etching), ECR(electron cyclotron resonance), ICP(inductively coupled plasma) p l v e q pn l v p. RIEp n p r k l v e p, p p p e l p p rp p. ECRp n v l qqp rp Žl p lv rp p. ICPp n p RF r p v To whom correspondence should be addressed. wglee@kangwon.ac.kr rl, r p pm l v rl l e p f p eˆ p [4-6]. v e, ˆ p p p p ~p s, p ˆ, rs p l p m p p pp e rp r rl pp n l. l l LIGA(lithographie galvanoformung abformung) rl v f n PMMA(polymethyl methacrylate)p e l kk k., v m o l remote v pn l d ol PMMA p e p v op p l m. v l p e PMMAp l tl PMMAp r p f r m p m. e l sq PMMAp, r p o, v m p s l o p r p FTIR(fourier-transformation infrared) AFM(atomic force microscope) p r l p m. 483

2 484 } Ëpo 2. Remote v e rl n q p Fig. 1l ˆ l MHzp tž v remote v 5.9 cm quartz l pp k v mlp sr m. e l n Žp 1.5 cm 1.5 cm p slide glass n mp, q p 100,000p PMMAm p 1:10p p l n m. l n PMMA 1,500 rpmp d Ž l 3µm e. dl Ž PMMA Ž 80 Cl s rp o ~p, dee r p v kk. v l n p dm v l p PMMAp e m. v o p l PMMAp e p kk o v p p e p o Fig. 2} o m. m 25 C o r mp, r~rp e s p Table 1l ˆ. v o p l PMMA e m m. e kk o Alpha-step(Alphastep IQ, KLA Tencor)p n l PMMA e r p r mp, e l q PMMAp r l FTIR(EXCALIBER Series, BIO-RAID)p n m. Remote v l p e r p o AFM(Nano Scope Multimode, Digital Instrument)p n m. 3. y v e r l remote v rnp r PMMA r m. pe l p tl e (etching rate: Å/min) m, r l e m. e l m p r v, v p ~, v o p m e p r m r e Fig. 3l ˆ l. Fig. 3(a) v p 200 W r ˆl pe p 10 p l v p ~m v o p l e r m, Fig. 3(b) v ol v p ~ l e r m. PMMA Ž Žp v op o l v e rp p ˆ. v l p v op l l e p. Fig. 3(b) v v l PMMA e p, v p v v p pm r p v rm p p p PMMAm p l r pp v p p. Fig. 3l p ~, air, v, v m Fig. 1. Schematic diagram of the remote plasma etching system. Fig. 2. Sample positions in the plasma cleaning chamber ( : plasma generated center). Table 1. Parameters of plasma cleaning condition Parameter Variable condition RF power(w) 100, 150, 200 W Exposed Time(min) 10 min Gas flow rate(sccm) 30 sccm Pressure(mtorr) 300 mtorr Temperature( o C) 25 o C Reactant gas O 2, Air, N 2, N 2 +H 2 (97:3) o44 o k Fig. 3. Etching rate with respect to plasma parameters: (a) loading position and reactant gas at 200W, (b) plasma power and reactant gas at plasma generated center.

3 Remote v l PMMAp e 485 Fig. 4. FTIR spectra as a function of RF power and loading position during remote O 2 plasma etching: (a) site, (b) site, (c) site, (d) site. dl PMMA r pp ˆ l. PMMA r re p ~ t p l e l m p p. v l qp l p p PMMAm p p p p v l p p. v ol 200 Wp v p 2430 Å/minp e p. PMMA 3µm d Ž d p m air v 30 sccmp o p op l 10 e rp m. Air v m p o 80:20p l 30 sccmp o p p. Fig. 4 v l p PMMA Ž e p o m v l p pp pl FTIR r p. Fig. 5 air v p pp pl FTIR r p. Žql p oq p 2,979 cm -1 : C-CH 3, 2,948 cm -1 : -CH 2 -, 2,840 cm -1 : C-H stretch vibration groups -CH 3 and -CH 2 - p, d p e d l q p PMMAp ˆ p [7]. v l p PMMA e k H 2 O, CH 4, CO, CO 2 p pp, O *m PMMAmp t pl p l l p r [8]. e p o v op lv e l sq PMMA v p. p v v e rl p tl PMMAp qpp p. PMMAp e rl n e p o v op v v l p pm. v p v o e rp 2,800~3,000 cm mll 1 p d Žl kp PMMA p p p. Fig. 6p v v l p 10 PMMA e FTIR r p. p e l k p p v v l p r PMMA qp, FTIRl p l lpp p p. Fig. 7p v m d 29 sccm 1 sccmp o p ope 10 v v o p l e FTIR r p. v m v l p e l PMMA r ~ n pmp rq p rp p p p p v l p. r l n ~p v rp p p p pq (pm, rq, )p, p pq p p e r pp pp p ~ l ˆ p f e ˆ. v l p p v oq r qp v p l v l v pn o p pp d l p rp pl p l p lv [9]. Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

4 486 } Ëpo Fig. 5. FTIR spectra as a function of RF power and loading position during remote air plasma etching: (a) site, (b) site, (c) site, (d) site. Fig. 6. FTIR spectra as a function of RF power and loading position during remote N 2 plasma etching: (a) site, (b) site, (c) site, (d) site. o44 o k

5 Remote v l PMMAp e 487 Fig. 7. FTIR spectra as a function of RF power and loading position during remote N 2 +H 2 (97:3) plasma etching: (a) site, (b) site, (c) site, (d) site. Fig. 8. AFM images of the surface exposed to remote plasma etching at the various reactant gas at sites: G(a) O 2, (b) Air, (c) N 2. Fig. 8p remote v e rl p PMMA l l m p t kk o p ~ l ˆ p. v } l PMMA 1.55 Åm. e p o v o, mp rs p 10 v } PMMAp Å p ˆ (Fig. 8(a)). Airm v v } PMMAp Å, 2.57 Åp v p ~ p o p } PMMA p ˆ p (Fig. 8(b), (c)). v l pm l p v r le v. 4. e l o ql e e rp v pn l o l Ž PMMAp e l l s m. v op l PMMA e l kk k. v op lv Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

6 488 } Ëpo v l p p PMMA e m. v p ~ p l PMMA r l pl m p t p ~ t p o p v e v p ˆ l. v p v PMMAp FTIR p, v op e p o lv p v m. v l pq (pm, rq, )l p PMMA r, v v o p PMMAp pl m p t ppp k pl. v r PMMA o p v pm l p le p v p k pl. y 1. International Technology Roadmap for Semiconductors, ITRS, (2002). 2. Louis, D., Nier, M. E., Fery, C., Heitzmann, M., Papon, A. M. and Renard, S., Poly-Si Gate Patterning Issues for Ultimate MOSFET, Microelectron. Eng., 61-62, (2002). 3. Bell, F. H., Jouber, O. and Vallier, L., Influence of the Nature of the Mask on Polysilicon Gate Patterning in High Density Plasma, Microelectron. Eng., 30, (1996). 4. Jung, J. K. and Lee, W. J., Dry Etching Characteristics of Pb (Zr,Ti)O 3 Films in CF 4 and C1 2 /CF 4 Inductively Coupled Plasmas, Jan. J. Appl. Phys., 40(3), (2001). 5. Basit, N. A. and Kim, H. K., Crystallixation of Pb(Zr,Ti)O 3 Films Prepared by Radio Frequency Magnetron Sputtering With a Stoichiometric Oxide Target, J. Vac. Sci. Technol. A., 13(4), (1995). 6. Lin, Y. Y., Liu, Q., Tang, T. A. and Yao, X., XPS Analysis of Pb (Zr 0.52 Ti 0.48 )O 3 in Film After dry-etching by CHF 3 Plasma, Applied Surface Science, 165(1), 34-37(2000). 7. Sugimoto, F. and Okamura, S., Adsorption Behavior of Organic Contaminants on a Silicon Wafer Surface, J. Electrochem. Soc., 146(7), (1999). 8. Pang, S. W., Sung, K. T. and Ko, K. K., Etching of Photoresist Using Oxygen Plasma Generated by a Multipolar Electron Cyclotron Resonance Source, J. Vac. Sci. Technol. B., 10(3), (1992). 9. Delfino, M., Salimian, S., Hdul, D., Ellingboe, A. and Tsai, W., Plasma Cleaned Si Analyzed in situ by x-ray Photoelectron Spectroscopy, Secondary Ion Mass Spectrometry, and Actinometry, J. Appl. Phys., 71(2), (1992). o44 o k

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4 Korean Chem. Eng. Res., Vol. 45, No. 2, April, 2007, pp. 203-207 -jo- g g oi m lmœ Ž m mm o m Ç m (t)e l 730-724 e p 283 (2006 10o 18p r, 2006 12o 7p }ˆ) Particle Removal on Silicon Wafer Surface by Ozone-HF-NH

More information

Surface Reaction Modeling for Plasma Etching of SiO 2

Surface Reaction Modeling for Plasma Etching of SiO 2 Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp. 520-527 m r i Ž m m i r, q rl 561-756 r rte v v 1 664-14 (2006 9o 5p r, 2006 9o 26p }ˆ) Surface Reaction Modeling for lasma Etching of SiO 2 Thin

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

The Study of Structure Design for Dividing Wall Distillation Column

The Study of Structure Design for Dividing Wall Distillation Column Korean Chem. Eng. Res., Vol. 45, No. 1, February, 2007, pp. 39-45 r m o Š i m Çm k m d p 712-749 e 214-1 (2006 11o 18p r, 2006 12o 14p }ˆ) The Study of Structure Design for Dividing Wall Distillation Column

More information

수소가스화기에서석탄의메탄화반응특성. Characteristics of Coal Methanation in a Hydrogasifier

수소가스화기에서석탄의메탄화반응특성. Characteristics of Coal Methanation in a Hydrogasifier Korean Chem. Eng. Res., Vol. 44, No. 6, December, 2006, pp. 631-635 수소가스화기에서석탄의메탄화반응특성 m Çl qç iyç m Çmm l v l o ˆr l 305-343 r le o q 71-2 (2006 2o 14p r, 2006 8o 8p }ˆ) Characteristics of Coal Methanation

More information

Temperature Control in Autothermal Reforming Reactor

Temperature Control in Autothermal Reforming Reactor Korean Chem. Eng. Res., Vol. 45, No. 1, February, 2007, pp. 12-16 j mi s m i m j oh qç s Çms Ç 702-701 e 1370 (2006 9o 26p r, 2006 12o 13p }ˆ) Temperature Control in Autothermal Reforming Reactor Song

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite

Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite Carbon Science Vol. 7, No. 3 September 2006 pp. 196-200 Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite Kwang-Youn Cho, Kyung-Ja Kim, Yun-Soo Lim 1, Yun-Joong Chung 1 and Se-Hwan Chi

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Missing Value Estimation and Sensor Fault Identification using Multivariate Statistical Analysis

Missing Value Estimation and Sensor Fault Identification using Multivariate Statistical Analysis Korean Chem. Eng. Res., Vol. 45, No. 1, February, 2007, pp. 87-92 l mk ~ m m i~ m m my Çmm 794-784 e q 31 (2006 10o 31p r, 2007 1o 9p }ˆ) Missing Value Estimation and Sensor Fault Identification using

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Temperature Effect on the Retention Behavior of Sugars and Organic Acids on poly (4-vinylpyridine) Resin

Temperature Effect on the Retention Behavior of Sugars and Organic Acids on poly (4-vinylpyridine) Resin Korean Chem. Eng. Res., Vol. 44, No. 1, February, 2006, pp. 35-39 PVP 분리수지에서온도에따른당과유기산의체류특성변화 smçmp *Ç l p, *r l 402-701 p}e n 253 (2005 11o 14p r, 2005 12o 11p }ˆ) Temperature Effect on the Retention

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Korean J. Chem. Eng., 28(4), 1133-1138 (2011) DOI: 10.1007/s11814-011-0036-2 INVITED REVIEW PAPER X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Jeong-Gil Choi Department

More information

The Effect of Deposition Parameter on Electrical Resistivity of TiAlN Thin Film

The Effect of Deposition Parameter on Electrical Resistivity of TiAlN Thin Film HWAHAK KONGHAK Vol. 40, No. 4, August, 00, pp. 59-533 TiAlN Electrical Resistivity * * (00 4 3, 00 7 31 ) The Effect of Deposition Parameter on Electrical Resistivity of TiAlN Thin Film Young Soo Song,

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate Appl. Sci. Converg. Technol. 27(6): 135-139 (2018) https://doi.org/10.5757/asct.2018.27.6.135 Research Paper Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Applications of XPS, AES, and TOF-SIMS

Applications of XPS, AES, and TOF-SIMS Applications of XPS, AES, and TOF-SIMS Scott R. Bryan Physical Electronics 1 Materials Characterization Techniques Microscopy Optical Microscope SEM TEM STM SPM AFM Spectroscopy Energy Dispersive X-ray

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma

Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma Heung-Sik Tae, a) Sang-June Park, b) Seok-Hee Hwang, a) Ki-Hyun Hwang, b) Euijoon Yoon, b) and

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Effect of Precipitation on Operation Range of the CO 2

Effect of Precipitation on Operation Range of the CO 2 Korean Chem. Eng. Res., Vol. 45, No. 3, June, 007, pp. 58-63 g g o mk m o oi n m oi iii i lo Ç Ç k Ç p *Ç p * o 305-701 re o 373-1 * l v l o rl 305-343 re o q 71- (006 1o 18p r, 007 1o 11p }ˆ) Effect of

More information

History and Status of the Chum Salmon Enhancement Program in Korea

History and Status of the Chum Salmon Enhancement Program in Korea The Sea Journal of the Korean Society of Oceanography Vol. 12, No. 2, pp. 73 80, May 2007 [Note] {{ n{ {n r~ * r d p n d n History and Status of the Chum Salmon Enhancement Program in Korea CHAE SUNG LEE*,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures Supplementary Information High-Performance, Transparent and Stretchable Electrodes using Graphene-Metal Nanowire Hybrid Structures Mi-Sun Lee, Kyongsoo Lee, So-Yun Kim, Heejoo Lee, Jihun Park, Kwang-Hyuk

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma Plasma Science and Technology, Vol.15, No.10, Oct. 2013 Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma XU Yijun ( ) 1,2, WU

More information

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene Supplementary Information for Origin of New Broad Raman D and G Peaks in Annealed Graphene Jinpyo Hong, Min Kyu Park, Eun Jung Lee, DaeEung Lee, Dong Seok Hwang and Sunmin Ryu* Department of Applied Chemistry,

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Seoung-Ki Lee, Beom Joon Kim, Houk Jang, Sung Cheol Yoon, Changjin Lee, Byung Hee Hong, John A. Rogers, Jeong Ho Cho, Jong-Hyun

More information

Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model

Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model Korean J. Chem. Eng., 20(2), 392-398 (2003) Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model Dong-Joo Kim, Pil Jo Lyoo* and Kyo-Seon Kim Department of Chemical Engineering,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Performance of Direct Methanol Fuel Cell (DMFC) based on New Electrode Binder (speek/nafion): Effect of Binder Content

Performance of Direct Methanol Fuel Cell (DMFC) based on New Electrode Binder (speek/nafion): Effect of Binder Content Korean Chem. Eng. Res., Vol. 45, No. 4, August, 2007, pp. 391-395 { k n m (speek/nafion) m so j i nsm : m m i o iç o o 305-701 re o 373-1 (2007 2o 16p r, 2007 3o 22p }ˆ) Performance of Direct Methanol

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Plasma Etch Tool Gap Distance DOE Final Report

Plasma Etch Tool Gap Distance DOE Final Report Plasma Etch Tool Gap Distance DOE Final Report IEE 572 Doug Purvis Mei Lee Gallagher 12/4/00 Page 1 of 10 Protocol Purpose: To establish new Power, Pressure, and Gas Ratio setpoints that are acceptable

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation Muneto Inayoshi, Masafumi Ito, Masaru Hori, and Toshio Goto Department of Quantum

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Seed Production from Pond Cultured Cherry Salmon, e ll, Oncorhynchus masou (Brevoort) ll ll

Seed Production from Pond Cultured Cherry Salmon, e ll, Oncorhynchus masou (Brevoort) ll ll J. of Aquaculture Vol. 20(1) : 14-18, 2007 µ Journal of Aquaculture Korean Aquaculture Society ~nr {o n~ t{{, Oncorhynchus masou (Brevoort) nn *, f d p ndn Seed Production from Pond Cultured Cherry Salmon,

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제. Purification of p-dioxanone from p-dioxanone and Diethylene Glycol Mixture by a Layer Melt Crystallization

경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제. Purification of p-dioxanone from p-dioxanone and Diethylene Glycol Mixture by a Layer Melt Crystallization Korean Chem. Eng. Res., Vol. 43, No. 5, October, 2005, pp. 595-602 경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제 m zk s * l o r l 305-600 re o q 100 * 305-764 re o 220 (2005 6o 15p r, 2005 9o 6p }ˆ) Purification

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 A Working Electron Impact Cross Section Set for CHF 3 Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 Abstract Trifluoromethane, CHF 3, is used for plasma etching

More information

The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition

The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Thin Solid Films 515 (2007) 5035 5039 www.elsevier.com/locate/tsf The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Jaeyeong

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Production of Graphite Chloride and Bromide Using Microwave Sparks

Production of Graphite Chloride and Bromide Using Microwave Sparks Supporting Information Production of Graphite Chloride and Bromide Using Microwave Sparks Jian Zheng, Hongtao Liu, Bin Wu, Chong-an Di, Yunlong Guo, Ti Wu, Gui Yu, Yunqi Liu, * and Daoben Zhu Key Laboratory

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Analysis of a MgO Protective Layer Deposited with Ion-Beam-Assisted Deposition in an AC PDP

Analysis of a MgO Protective Layer Deposited with Ion-Beam-Assisted Deposition in an AC PDP Journal of the Korean Physical Society, Vol. 49, No. 6, December 2006, pp. 2332 2337 Analysis of a MgO Protective Layer Deposited with Ion-Beam-Assisted Deposition in an AC PDP Zhao Hui Li, Eou Sik Cho

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Mechanistic study of plasma damage of low k dielectric surfaces

Mechanistic study of plasma damage of low k dielectric surfaces Mechanistic study of plasma damage of low k dielectric surfaces J. Bao, a H. Shi, J. Liu, H. Huang, and P. S. Ho Laboratory for Interconnect and Packaging, Microelectronics Research Center, The University

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films ELSEVIER Materials Chemistry and Physics 49 (1997) 229-233 MATERIALS CHEMISTRYAND PHYSICS Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films Wen-Chou Tsai, Tseung-Yuen Tseng * Institute of

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

Supporting Information. Davydov Splitting and Excitonic Resonance Effects

Supporting Information. Davydov Splitting and Excitonic Resonance Effects Supporting Information Davydov Splitting and Excitonic Resonance Effects in Raman Spectra of Few-Layer MoSe2 Kangwon Kim,,1 Jae-Ung Lee,,1 Dahyun Nam, and Hyeonsik Cheong Department of Physics, Sogang

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information