The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition

Size: px
Start display at page:

Download "The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition"

Transcription

1 Thin Solid Films 515 (2007) The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Jaeyeong Heo a, Hyeong Joon Kim a,, JeongHoon Han b, Jong-Won Shon b a School of Materials Science and Engineering, Seoul National University, San #56-1, Sillim 9-dong, Gwanak-gu, Seoul, , South Korea b Jusung Engineering, # 49, Neungpyeong-ri, Opo-eup, Gwangju-si, Kyunggi-do, , South Korea Available online 6 December 2006 Abstract Two structures of low dielectric constant (low-k) SiOC films were elucidated in this work. Low-k thin film by remote plasma mode was mainly composed of inorganic Si O Si backbone bonds and some oxygen atoms are partially substituted by CH 3, which lowers k value. The host matrix of low-k thin films deposited by direct plasma mode, however, was mainly composed of organic C C bonds and M and D moieties of organosilicate building blocks, and thus the low dipole and ionic polarizabilities were the important factors on lowering k value Elsevier B.V. All rights reserved. Keywords: Low-k; Structure; XPS; FT-IR 1. Introduction The development of reliable low-k thin films has received widespread attention from the semiconductor industry over the past few years for their application in ultra large scale integration (ULSI) inter-metal dielectric (IMD) [1]. As the circuit feature size shrinks below 0.18 μm, the increase of signal propagation delay due to interconnects becomes important. The smaller line dimensions increase the resistivity (R) of the metal lines and the narrower interline spacing increases the parasitic capacitance (C) between the lines. To reduce the RC delays, low-k dielectrics (k b 3.0) are being considered to replace the currently used SiO 2 (k 4.2) for interlayer dielectric insulation. Considerable efforts have been recently spent on developing low-k materials using either plasma-enhanced chemical vapor deposition (PECVD) or spin-on deposition (SOD) techniques [2]. Among the CVD candidates, organosilicate glass (OSG) or SiOC materials appear to be well suited so that various organosilicon precursors, such as trimethylsilane (3MS) [3], tetramethylsilane (4MS) [4], bis-trimethylsilylmethane (BTMSM) [5], and tetramethylcyclotetrasiloxane (TMCTS) [6], have been examined to be adoptable to the back-end process Corresponding author. address: hjkim@plaza.snu.ac.kr (H.J. Kim). integration. The reported k values of these films are as low as 2.1, but the films are very weak because of their inherently porous structure; there is currently no dense low-k dielectric material with a dielectric constant below 2.5 [7]. In this respect, the understanding of low-k film's structure is one of the most important issues to solve the integration problems. This paper is focused on two different mechanisms of lowering dielectric constant of the films which were deposited in the same system but with different process conditions. 2. Experimental Low-k SiOC films were prepared on p-type (100) silicon substrates by radio frequency (13.56 MHz) Inductively Coupled Plasma (ICP) PECVD using a CH 3 -containing organosilicate precursor, bis-trimethylsilylmethane (BTMSM, C 7 H 20 Si 2 ). The schematic drawing of the PECVD set-up we used throughout this study is shown in Fig. 1. Plasma was generated around the 3-turn coil connected to the r.f. generator. The distance between the coil and the substrate was 34 cm and that between the injector and the substrate was 5 cm. The chamber was pumped by a booster and a dry pump to a base pressure of 10 3 Torr. The precursor was vaporized and carried with inert Ar gas from a thermostatic bubbler maintained at 30 C to the reaction chamber /$ - see front matter 2006 Elsevier B.V. All rights reserved. doi: /j.tsf

2 5036 J. Heo et al. / Thin Solid Films 515 (2007) Fig. 1. The schematic drawing of ICP PECVD set-up. Two different plasma excitation modes can be applied in the system. In low r.f. power range (60 W 300 W), the plasma is confined within the plasma chamber so that only the excitation gas is plasma excited.we call it as remote plasma mode hereafter. O 2 as an oxidant gas and Ar as a dilute gas were fed into the chamber. We expect that low or moderate dissociation of the precursor will occur in this mode. In high plasma power region (700 W), the plasma extends into the reaction chamber and direct plasma dissociation of the monomer molecules will play an important role [8]. We call itas direct plasma mode hereafter. Ar gas was fed into the chamber through the quartz tube. Detailed experimental process condition is summarized in the Table 1. All films were post-deposition-annealed (PDA) at 450 C in N 2 ambient for 30 min, and p-si/ low-k dielectric /Pt Metal- Insulator-Semiconductor (MIS) capacitor structure was fabricated by depositing Pt-top electrodes using an electron beam evaporation method through a shadow mask. After Al was deposited as a back contact metal, annealing was performed at 400 C for 30 min in a forming gas (5% H 2 +95% N 2 ) ambient. A HP 4194A impedance meter and a HP 4140B picoammeter were used for capacitance voltage (C V) and current-density voltage (J V) measurements, respectively. The C V measurement frequency was fixed at 1 MHz. We elucidated the structure of each film by XPS (Sigma Probe, ThermoVG) and FT-IR (JASCO FT/IR-660 plus) measurements. 3. Results and discussion Fig. 2. FT-IR absorbance spectrum of BTMSM precursor. assigned to Si CH 2 Si wagging vibration. This wagging peak is masked by Si O Si asymmetric stretching peak when it comes to oxygen-containing polymers, but the stretching peak is not as sharp as CH 2 wagging peak [8,9]. In addition, no oxygen atom exists in BTMSM precursor. We can consequently conclude that the sharp peak at 1051 cm 1 originated from Si CH 2 Si bonds. The inset of Fig. 2 is an enlargement ranging from 550 to 1000 cm 1.Itis identified that rocking vibration mode of CH 3 and stretching vibration mode of Si C also exists (686, 761, 782, 833, and 871 cm 1 ), which confirms the structure of the precursor [6,8,9]. Fig. 3 shows the deposition rate behaviors of two different plasma modes. An Arrhenius plot for the deposition rate of both plasma mode films as a function of deposition temperature is shown in Fig. 3(a). The activation energy is calculated to be ev and ev for direct and remote plasma modes, respectively; relatively smaller energy for remote plasma mode film is ascribed to the fact that Si O bond has larger tendency to be adsorbed to the growing film than Si C bond at high temperature. The relatively high activation energy is attributed to larger desorption tendency of Si C and C C bonds at high temperature. The negative activation energy indicates that the reaction is absorption desorption controlled [10,11]. Fig. 3(b) shows deposition rate change with plasma power for remote plasma mode films. The slope of deposition rate with plasma FT-IR absorbance spectrum of the precursor in liquid phase at room temperature is shown in Fig. 2. Peaks at 833, 1249, 2896, and 2952 cm 1 are CH 3 -related and a sharp peak at 1051 cm 1 is Table 1 Deposition parameters for low-k films Power [W] Pressure [Torr] Dilute Ar [sccm] O 2 [sccm] Sub. temp. [ C] Direct b mode Remote Mode Fig. 3. The deposition rate behavior of (a) direct plasma mode films and (b) remote plasma mode films.

3 J. Heo et al. / Thin Solid Films 515 (2007) Fig. 4. FT-IR spectra of (a) direct plasma mode films and (b) remote plasma mode films. power is 6.9 up to 100 W region, but it decreased down to 2.0 from 100 W to 300 W. The change of deposition behavior seems to occur around 100 W. Fig. 4 shows FT-IR spectra of both plasma modes with different deposition conditions. The main building blocks for Organosilicate Glass (OSG) materials are M ((CH 3 ) 3 SiO 1/2 ), D ((CH 3 ) 2 SiO 2/2 ), T (CH 3 SiO 3/2 )v, and Q (SiO 4/2 ) groups corresponding to mono-, di-, tri-, and quad-oxygen-substituted silicon atoms [12]. The peak positions of the first three building blocks appear at 1250, 1260, and 1270 cm 1, respectively. As shown in Fig. 4(a), Si(CH 3 ) X symmetric stretching peak position for direct plasma mode films increases from 1255 cm 1 to 1263 cm 1 and the peak area decreases from 0.07 (a.u.) to 0.04 (a.u.) with deposition temperature. Strong absorptions at cm 1 are assigned to Si(CH 3 ) X (x=1, 2, or 3) vibrations [6]. The absorptions at 804 cm 1 and 844 cm 1 are attributed to D and M vibrations, respectively. M to D peak intensity ratio also decreases from 90.7% to 58.4%. Abrupt decrease of FT-IR spectra at 1100 cm 1 seems to be due to an artifact. FT-IR spectra for remote plasma mode films as a function of plasma power are shown in Fig. 4(b). Si(CH 3 ) X absorption peaks at 804 and 844 cm 1 increase with decreasing plasma power while relative intensities of Si O Si backbone structure peak decrease. Slight decrease in wavenumber of backbone peak (red shift) corresponds well with the result of Y. H. Kim et al. [13];it means that carbon content incorporated in the film increases with decreasing plasma power. As an arrow depicted in Fig. 4(b), Si (CH 3 ) X symmetric stretching peak at 1276 cm 1 also follows the same increasing trend of Si(CH 3 ) X peaks at 804 and 844 cm 1.A peak at 1133 cm 1 is attributed to larger angle Si O Si bonds in a cage structure with a bond angle of approximately 150, which Fig. 6. Changes of dielectric constant of (a) direct plasma mode films and (b) remote plasma mode films. can lead to micropores and consequently a lower film density and dielectric constant [6,14]. From the FT-IR spectra comparison, it is concluded that two films for different plasma states have different main building blocks. Fig. 5 shows the changes of refractive indices and thickness loss before and after annealing at 450 C in N 2. Fig. 5(a) is for the direct plasma mode films as a function of plasma power. As deposition temperature increases, refractive index slightly increases up to 170 C, but it abruptly soars above 170 C. After PDA, refractive indices are unchanged in low temperature region, but it increases slightly above 150 C. Thickness loss also shows drastic change; thickness loss of the film at room temperature is as high as 13.5% and it decreases down to 7 8% and eventually to about 3%. The generally reported thickness loss of low-k film is about 5 6% [5]. But A. Grill and Neumayer reported that thermally unstable CH x phase could be removed Fig. 5. Changes of refractive indices and thickness loss before and after N 2 PDA of (a) direct plasma mode films and (b) remote plasma mode films. Fig. 7. Si 2p XPS spectra of (a) direct plasma mode film (25 C, k=2.54), (b) remote plasma mode film (80 W, k=2.9), and (c) thermal oxide.

4 5038 J. Heo et al. / Thin Solid Films 515 (2007) Fig. 8. The relative percentage change of Si building blocks. and over 20% thickness loss could be obtained [6]. It seems that thermally unstable CH x radicals exist in the film at relatively low deposition temperature and well removed during N 2 PDA so that thickness loss is higher. The changes of refractive indices and thickness loss for remote plasma mode films are shown in Fig. 5(b). The refractive indices of the films prepared by remote plasma mode are smaller than those of the films by direct mode. It has the lowest value of at 80 W condition and gradually increases up to All films show similar decrease in refractive index by about 0.3 after PDA and the thickness loss also follows the same V-shape change with plasma power. The highest thickness loss, however, is about 6.5% and it is smaller than those of the direct plasma mode films with deposition temperature below 100 C. Meanwhile, the refractive indices of N 2 annealed low-k films prepared by direct plasma mode are higher (n = ) than those (n= ) of films by remote plasma mode. It is explained from the replacement of skeleton SiO 2 (RI=1.46 at 633 nm) by SiC (RI 2.0 at 633 nm) [15]. The dielectric constant measured by C V method after PDA is illustrated in Fig. 6. As shown in Fig. 6(a), dielectric constant of direct plasma mode films increases as increasing the deposition temperature. The film prepared at room temperature shows the lowest k value of The dielectric constant change as a function of plasma power for remote plasma mode films is shown in Fig. 6(b). It also follows the V-shape trend as the refractive index and thickness loss do. The lowest k value obtained is 2.9 at plasma power 80 W and it increases drastically as plasma power increases from 100 to 300 W. It is explained as follows; as the plasma power increases to 100 W, the number of radicals generated by plasma increases so that deposition rate rapidly increases as well; the number eventually saturates at 100 W and it decreases above 100 W because cracking of BTMSM precursor and chemical etching by plasma start to dominate the whole process. To further confirm the structure of the films, the deposited films were characterized by XPS measurement very carefully. Fig. 7(a) is curve fitting of the Si 2p core level of each film. Fits were obtained by constraining the full width at halfmaximum (FWHM) equal to 1.45 [16]. To calibrate the photoelectron binding energy, the C 1 s peak attributed to C C bonds was set to ev. The Si 2p spectrum was deconvoluted into five different moieties which are assigned as follows: M (101.2 ev), D (102.1 ev), T (102.8 ev), Q (103.4 ev) and OH related Si (104.5 ev). Binding energies that several authors [16 19] have reported are a little different from each other and fitting procedure is somewhat arbitrary, but it is meaningful enough to distinguish silicon in organic or inorganic states. XPS Si 2p curve fitting of direct plasma mode film (25 C) is shown in Fig. 7(a) and that of remote plasma mode film (80 W) is shown in Fig. 7(b). Peak fitting of thermal oxide is inserted as a reference in Fig. 7(c). In both cases of Fig. 7(a) and (b), the binding energy is shifted toward lower binding energy compared to reference thermal oxide and the film of direct plasma mode is more shifted to lower energy. The chemical shift of Si 2p core level is highly dependent on the presence of surrounding oxygen atoms [20]. The presence of oxygen atom makes the higher binding energy shift compared to the presence of carbon. Fig. 8 summaries the result more clearly. M and D moieties are the main building blocks (85.7%) of direct plasma mode film, and T and Q moieties are the main building blocks (58.5 %) of remote plasma mode film. Regarding FT-IR spectra and XPS fitting results, the structures of two plasma mode films are understood as follows; films by direct plasma mode are mainly composed of organic C C bonds and M and D moieties. Although electronic k value is higher than that of remote plasma mode films, ionic and dipolar proportions of direct plasma mode films are much smaller than those of remote plasma mode films; it is speculated from the smaller electronegativity difference of Si C (0.7) than that of Si O (1.7). X. Li et al. also insisted that the large number of low atomic mass C C bonds leads to small atomic polarization, therefore low dielectric constants [21]. On the other hand, films by remote plasma mode are mainly composed of inorganic Si O Si bonds with some oxygen atoms substituted by CH 3, resulting in micropores. The decrease of ionic dipolar contribution is the predominant factor on decrease in the dielectric constant [22]. 4. Conclusion We have demonstrated that the films with structure different from generally considered organosilicate glass can also have low dielectric constant as low as 2.54 by optimizing plasma mode and process condition. The host matrix of the film was mainly composed of organic C C bonds and M and D moieties, and thus the low dipole and ionic polarizabilities were the important factors on lowering k value. The low-k film of remote plasma mode, however, is mainly composed of Si O Si backbone and some of oxygen atoms are substituted by CH 3 groups, which is the general mechanism of lowering dielectric constant. Acknowledgement The first author wishes to thank the Jusung Engineering for a financial support.

5 J. Heo et al. / Thin Solid Films 515 (2007) References [1] K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S.H. Brongersma, Z.S. Yanovitskaya, J. Appl. Phys. 93 (2003) [2] L. Peters, Semicond. Int. (Sept. 1998) 56. [3] M.J. Loboda, Microelectron. Eng. 50 (2000) 15. [4] A. Grill, V. Patel, J. Appl. Phys. 85 (1999) [5] Y.H. Kim, S.K. Lee, H.J. Kim, J. Vac. Sci. Technol., A 18 (2000) [6] A. Grill, D.A. Neumayer, J. Appl. Phys. 94 (2003) [7] K. Mosig, T. Jacobs, K. Brennan, M. Rasco, J. Wolf, R. Augur, Microelectron. Eng. 64 (2002) 11. [8] C. Rau, W. Kulisch, Thin Solid Films 249 (1994) 28. [9] A. Lee Smith (Ed.), Analysis of Silicones, Wiley Interscience, New York, 1974, p [10] B.K. Hwang, M.J. Loboda, G.A. Cerny, R.F. Schneider, J.A. Seifferly, T. Washer, Proc. IEEE, IITC, 2002, p. 52. [11] J.M. Shieh, K.C. Tsai, B.T. Dai, Appl. Phys. Lett. 81 (2002) [12] A.D. Ross, K.K. Gleason, J. Appl. Phys. 97 (2005) [13] Y.H. Kim, M.S. Hwang, H.J. Kim, J. Appl. Phys. 90 (2001) [14] M.R. Wang, Rusli, J.L. Xie, N. Babu, C.Y Li, K. Rakesh, J. Appl. Phys. 96 (2004) 829. [15] D. Shamiryan, K. Weidner, W.D. Gray, M.R. Baklanov, S. Vanhaelemeersch, K. Maex, Microelectron. Eng. 64 (2002) 361. [16] L.M. Han, J. Pan, S. Chen, N. Balasubramanian, J. Shi, L.S. Wong, P.D. Foo, J. Electrochem. Soc. 148 (2001) F148. [17] L. O'Hare, B. Parbhoo, S.R. Leadley, Surf. Interface Anal. 36 (2004) [18] M.R. Alexander, R.D. Short, F.R. Jones, W. Michaeli, C.J. Blomfield, Appl. Surf. Sci. 137 (1999) 179. [19] S. Roualdes, R. Berjoan, J. Durand, Sep. Purif. Technol. 25 (2001) 391. [20] L.C. Feldman, J.W. Mayer, Fundamentals of Surface and Thin Film Analysis, Elsevier Sicence, New York, 1986, p [21] X. Li, T.K.S. Wong, Rusli, D. Yang, Diamond Relat. Mater. 12 (2003) 963. [22] J.Y. Kim, M.S. Hwang, Y.H. Kim, H.J. Kim, Y. Lee, J. Appl. Phys. 90 (2001) 2469.

Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition Journal of the Korean Physical Society, Vol. 50, No. 6, June 2007, pp. 1814 1818 Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195 ptimized Materials Properties for rganosilicate Glasses Produced by Plasma-Enhanced Chemical Vapor Deposition M.L. Neill, R.N. Vrtis, J.L. Vincent, A.S. Lukas, E.J. Karwacki, B.K. Peterson, and M.D. Bitner

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Mechanistic study of plasma damage of low k dielectric surfaces

Mechanistic study of plasma damage of low k dielectric surfaces Mechanistic study of plasma damage of low k dielectric surfaces J. Bao, a H. Shi, J. Liu, H. Huang, and P. S. Ho Laboratory for Interconnect and Packaging, Microelectronics Research Center, The University

More information

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility Supporting Information Effects of Environmental Water Absorption by Solution-Deposited Al 2 O 3 Gate Dielectrics on Thin Film Transistor Performance and Mobility Trey B. Daunis, James M. H. Tran, and Julia

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Engineered doping of organic semiconductors for enhanced thermoelectric efficiency G.-H. Kim, 1 L. Shao, 1 K. Zhang, 1 and K. P. Pipe 1,2,* 1 Department of Mechanical Engineering, University of Michigan,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Measurement and Analysis of Water Adsorption in Porous Silica Films

Measurement and Analysis of Water Adsorption in Porous Silica Films Journal of The Electrochemical Society, 153 8 G759-G764 2006 0013-4651/2006/153 8 /G759/6/$20.00 The Electrochemical Society Measurement and Analysis of Water Adsorption in Porous Silica Films Shin-Ichiro

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Effect of Electric Field on Condensed-Phase Molecular Systems. II. Stark Effect on the Hydroxyl Stretch Vibration of Ice

Effect of Electric Field on Condensed-Phase Molecular Systems. II. Stark Effect on the Hydroxyl Stretch Vibration of Ice Effect of Electric Field on Condensed-Phase Molecular Systems. II. Stark Effect on the Hydroxyl Stretch Vibration of Ice Sunghwan Shin, Hani Kang, Daeheum Cho, Jin Yong Lee, *, and Heon Kang *, Department

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Experimental verification of physical models for defect states in. crystalline and amorphous ultrathin dielectric films

Experimental verification of physical models for defect states in. crystalline and amorphous ultrathin dielectric films Experimental verification of physical models for defect states in crystalline and amorphous ultrathin dielectric films H. Ren, 1 M. T. Nichols, 1 G. Jiang, 2 G. A. Antonelli, 3 Y. Nishi, 4 and J.L. Shohet

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices

Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices Applied Surface Science 212 213 (2003) 563 569 Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices Gerald Lucovsky *, Gilbert B. Rayner

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Reaction Mechanism of Area-Selective Atomic

Reaction Mechanism of Area-Selective Atomic Supporting Information Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2 O 3 Nanopatterns Seunggi Seo 1, Il-Kwon Oh 1, Byung Chul Yeo 1, 2, Sang Soo Han 2, Chang Mo Yoon 1, JOON YOUNG

More information

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film Diamond & Related Materials 14 (2005) 1126 1130 www.elsevier.com/locate/diamond The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film C.W. Chen a, *, C.C. Huang a,

More information

Generation of strong electric fields in an ice film capacitor

Generation of strong electric fields in an ice film capacitor Generation of strong electric fields in an ice film capacitor Sunghwan Shin, Youngsoon Kim, Eui-seong Moon, Du Hyeong Lee, Hani Kang, Heon Kang Department of Chemistry, Seoul National University, 1 Gwanak-ro,

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Author(s) Okuyama, H; Aruga, T; Nishijima, M. Citation PHYSICAL REVIEW LETTERS (2003), 91(

Author(s) Okuyama, H; Aruga, T; Nishijima, M. Citation PHYSICAL REVIEW LETTERS (2003), 91( Title Vibrational characterization of the Si(111)-(7x7) Author(s) Okuyama, H; Aruga, T; Nishijima, M Citation PHYSICAL REVIEW LETTERS (2003), 91( Issue Date 2003-12-19 URL http://hdl.handle.net/2433/49840

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003 Supporting Information for Angew. Chem. Int. Ed. Z52074 Wiley-VCH 2003 69451 Weinheim, Germany Kinetic and Thermodynamic Control via Chemical Bond Rearrangement on Si(001) Surface Chiho Hamai, Akihiko

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 July 2008 SLAC-PUB-13302 The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface Yun Sun, a) Zhi Liu, Shiyu Sun, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 The

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition Composites: Part B 30 (1999) 685 689 www.elsevier.com/locate/compositesb Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition K. Ebihara*,

More information

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers Effects of Ultraviolet Exposure on the current-voltage characteristics of high-k dielectric layers H. Ren 1, A. Sehgal 1, G.A. Antonelli 2, Y. Nishi 3 and J.L. Shohet 1 1 Plasma Processing & Technology

More information

Formation of Nanostructured Layers for Passivation of High Power Silicon Devices

Formation of Nanostructured Layers for Passivation of High Power Silicon Devices Vol. 113 (2008) ACTA PHYSICA POLONICA A No. 3 Proceedings of the 13th International Symposium UFPS, Vilnius, Lithuania 2007 Formation of Nanostructured Layers for Passivation of High Power Silicon Devices

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

Self-assembled and intercalated film of reduced. graphene oxide for a novel vacuum pressure sensor

Self-assembled and intercalated film of reduced. graphene oxide for a novel vacuum pressure sensor Supplementary Information for Self-assembled and intercalated film of reduced graphene oxide for a novel vacuum pressure sensor Sung Il Ahn *, Jura Jung, Yongwoo Kim, Yujin Lee, Kukjoo Kim, Seong Eui Lee

More information

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE ETAL-OXIDE-SEICONDUCTOR (OS) STRUCTURES KRZYSZTOF PISKORSKI (kpisk@ite.waw.pl), HENRYK. PRZEWLOCKI Institute

More information

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking,

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking, Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a Low-Surface-Energy, Diffusion-Blocking, Covalently Bonded Perfluoropolyether Layer and Its Application to the Fabrication of Organic Electronic

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Growth of carbon nanotubes by chemical vapor deposition

Growth of carbon nanotubes by chemical vapor deposition Ž. Diamond and Related Materials 10 001 15 140 Growth of carbon nanotubes by chemical vapor deposition Minjae Jung a, Kwang Yong Eun b, Jae-Kap Lee b, Young-Joon Baik b, Kwang-Ryeol Lee b,, Jong Wan Park

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System Journal of Physics: Conference Series PAPER OPEN ACCESS High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System To cite this

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Acidic Water Monolayer on Ruthenium(0001)

Acidic Water Monolayer on Ruthenium(0001) Acidic Water Monolayer on Ruthenium(0001) Youngsoon Kim, Eui-seong Moon, Sunghwan Shin, and Heon Kang Department of Chemistry, Seoul National University, 1 Gwanak-ro, Seoul 151-747, Republic of Korea.

More information

Supporting Information

Supporting Information Temperature Effect on Transport, Charging and Binding of Low-Energy Electrons Interacting with Amorphous Solid Water Films Roey Sagi, Michelle Akerman, Sujith Ramakrishnan and Micha Asscher * Institute

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

IBM Research Report. The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics

IBM Research Report. The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics RC23683 (W0508-008) August 1, 2005 Materials Science IBM Research Report The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics A. Grill, V. Patel IBM Research Division Thomas

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study Applied Surface Science 194 (2002) 278 282 Bi-directional phase transition of Cu/6H SiC(0 0 0 1) system discovered by positron beam study J.D. Zhang a,*, H.M. Weng b, Y.Y. Shan a, H.M. Ching a, C.D. Beling

More information

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress JOURNAL OF APPLIED PHYSICS VOLUME 86, NUMBER 12 15 DECEMBER 1999 Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress C. N. Liao, a)

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy N. Dietz, D.J. Stephens, G. Lucovsky and K.J. Bachmann North Carolina State University, Raleigh,

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Information High Photo- and Electroluminescence Efficiencies of Ladder-like Structured Polysilsesquioxane with Carbarzole Groups Seung-Sock Choi, a,b He Seung Lee, a Seung Sang

More information

Applied Surface Science

Applied Surface Science Applied Surface Science 255 (2009) 7708 7712 Contents lists available at ScienceDirect Applied Surface Science journal homepage: www.elsevier.com/locate/apsusc Surface properties of silicon oxide films

More information

Current Applied Physics

Current Applied Physics urrent Applied Physics 9 (29) 598 64 ontents lists available at ScienceDirect urrent Applied Physics journal homepage: www.elsevier.com/locate/cap Effects of process parameters on the properties of silicon

More information

Infrared characterization of a-si:h/a-si1-xc x:h interfaces

Infrared characterization of a-si:h/a-si1-xc x:h interfaces Infrared characterization of a-si:h/a-si1-xc x:h interfaces J. Bertomeu, J. Puigdollers, J.M. Asensi and J. Andreu. Laboratori de Física de Capes fines (LCFC), Departament de Física Aplicada i Electrònica,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Supplementary Information

Supplementary Information Supplementary Information Chemical and Bandgap Engineering in Monolayer Hexagonal Boron Nitride Kun Ba 1,, Wei Jiang 1,,Jingxin Cheng 2, Jingxian Bao 1, Ningning Xuan 1,Yangye Sun 1, Bing Liu 1, Aozhen

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

doi: /

doi: / doi: 10.1063/1.350497 Morphology of hydrofluoric acid and ammonium fluoride-treated silicon surfaces studied by surface infrared spectroscopy M. Niwano, Y. Takeda, Y. Ishibashi, K. Kurita, and N. Miyamoto

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter S. Sadat 1, E. Meyhofer 1 and P. Reddy 1, 1 Department of Mechanical Engineering, University of Michigan, Ann Arbor, 48109 Department

More information

Hydrogenation of Single Walled Carbon Nanotubes

Hydrogenation of Single Walled Carbon Nanotubes Hydrogenation of Single Walled Carbon Nanotubes Anders Nilsson Stanford Synchrotron Radiation Laboratory (SSRL) and Stockholm University Coworkers and Ackowledgement A. Nikitin 1), H. Ogasawara 1), D.

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Supporting Information

Supporting Information Supporting Information Oh et al. 10.1073/pnas.0811923106 SI Text Hysteresis of BPE-PTCDI MW-TFTs. Fig. S9 represents bidirectional transfer plots at V DS 100VinN 2 atmosphere for transistors constructed

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Efficient inorganic-organic hybrid heterojunction solar cells containing perovskite compound and polymeric hole conductors Jin Hyuck Heo, Sang Hyuk Im, Jun Hong Noh, Tarak N.

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

The Student Seminar Series. Jinseok Heo. Recent Applications of Surface Enhanced Raman Scattering in Analytical Chemistry

The Student Seminar Series. Jinseok Heo. Recent Applications of Surface Enhanced Raman Scattering in Analytical Chemistry Abstract The Student Seminar Series Presents a seminar by Jinseok Heo Department of Chemistry Texas A&M University Recent Applications of Surface Enhanced Raman Scattering in Analytical Chemistry 4:00

More information

Bipolar resistive switching in amorphous titanium oxide thin films

Bipolar resistive switching in amorphous titanium oxide thin films Bipolar resistive switching in amorphous titanium oxide thin films Hu Young Jeong and Jeong Yong Lee Department of Materials Science and Engineering, KAIST, Daejeon 305-701, Korea Min-Ki Ryu and Sung-Yool

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

A new method of growing graphene on Cu by hydrogen etching

A new method of growing graphene on Cu by hydrogen etching A new method of growing graphene on Cu by hydrogen etching Linjie zhan version 6, 2015.05.12--2015.05.24 CVD graphene Hydrogen etching Anisotropic Copper-catalyzed Highly anisotropic hydrogen etching method

More information

Supporting Information. by Hexagonal Boron Nitride

Supporting Information. by Hexagonal Boron Nitride Supporting Information High Velocity Saturation in Graphene Encapsulated by Hexagonal Boron Nitride Megan A. Yamoah 1,2,, Wenmin Yang 1,3, Eric Pop 4,5,6, David Goldhaber-Gordon 1 * 1 Department of Physics,

More information

Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method

Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method F. L. Martínez a, R. Ruiz-Merino a, A. del Prado b, E. San Andrés b, I.

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Korean J. Chem. Eng., 28(4), 1133-1138 (2011) DOI: 10.1007/s11814-011-0036-2 INVITED REVIEW PAPER X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Jeong-Gil Choi Department

More information

The Role of Oxygen during Thermal Reduction of Graphene Oxide Studied by Infrared Absorption Spectroscopy

The Role of Oxygen during Thermal Reduction of Graphene Oxide Studied by Infrared Absorption Spectroscopy SUPPLEMENTARY INFORMATION The Role of Oxygen during Thermal Reduction of Graphene Oxide Studied by Infrared Absorption Spectroscopy Muge Acik, 1 Geunsik Lee, 1 Cecilia Mattevi, ǂ2 Adam Pirkle, 1 Robert

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Half-Integer Quantum Conductance States

Half-Integer Quantum Conductance States Supporting Information A 50 mv Cu/SiO /W Memristor with Half-Integer Quantum Conductance States S. R. Nandakumar, Marie Minvielle, Saurabh Nagar, Catherine Dubourdieu, and Bipin Rajendran, Department of

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information