Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

Size: px
Start display at page:

Download "Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition"

Transcription

1 Journal of the Korean Physical Society, Vol. 50, No. 6, June 2007, pp Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition Seung Hyung Kim, R. Navamathavan, An Soo Jung and Yong Jun Jang Nano-Thin Film Materials Laboratory, Department of Physics, Cheju National University, Jeju Kwang-Man Lee Faculty of Electrical and Electronics Engineering, Cheju National University, Jeju Chi Kyu Choi Research Institute for Basic Sciences, Nano-Thin Film Materials Laboratory, Department of Physics, Cheju National University, Jeju (Received 6 September 2006) SiOC(-H) films were deposited on p-type Si(100) substrates from dimethyldimethoxysilane (DMDMS; C 4H 12O 2Si) and oxygen precursors with different flow rate ratios by using plasma enhanced chemical vapor deposition. The refractive index and the dielectric constant of the SiOC(-H) film decreased with increasing flow rate ratio. The concentration of the carbon content increased from 5 to 11 % when the precursor flow rate ratio was increased from 50 to 90 %. However, a slight decrease in the carbon concentration was observed when the films were annealed at temperatures up to 400 C. The SiOC(-H) film prepared with a lower flow rate ratio showed a higher dielectric constant. However, the annealed films shows lower dielectric constant than the as-deposited SiOC(- H) films. The lowest dielectric constant of about 2.15 was obtained for the SiOC(-H) film annealed at 400 C at a DMDMS/O 2 flow rate ratio of 70 %. PACS numbers: f, d, Gh, Mb Keywords: SiOC(-H) films, Low-k material, PECVD, DMDMS I. INTRODUCTION Dielectric materials with lower permittivity are required for isolation to solve the problem of resistancecapacitance (RC) delay, cross talk, and increased power consumption in integrated circuit (IC) interconnections. In order to decrease the capacitance between wires, it is necessary to reduce the dielectric constant (or relative dielectric constant) of an interlayer insulating film. These problems can be overcome by choosing proper materials with low dielectric constants (low-κ), so there is a driving demand for researchers to develop new materials with low dielectric constants to solve the shortcomings in ultra large scale integration (ULSI) technology. The introduction of Cu and low-k dielectrics has incrementally improved the situation as compared to the conventional Al/SiO 2 technology by reducing both the resistivity and the capacitance between interconnects [1]. Therefore, development of advanced low-κ interlayer material has become very important. Carbondoped silicon-oxide (SiOCH) dielectrics prepared by us- cckyu@cheju.ac.kr ing the chemical vapor deposition (CVD) technique were reported to be some of the most suitable candidates due to their low-k values and their electrical and integration processing being similar to those of SiO 2 [2, 3]. Because the chemical structure of the SiOC(-H) film is basically composed of a silicon-oxygen backbone with methyl (CH 3 ) group incorporation. These methyl groups have lower polarization and can reduce the film density considerably, hence decreasing the dielectric constant. Various organic and inorganic materials have been proposed as alternatives to SiO 2, including hydrogensilsequioxane (HSQ), silsesquioxane (SSQ), methylsilsisequioxane (MSQ), fluorine doped silicon oxide, fluorinated amorphous carbon, and carbondoped silicon oxide (SiOC) [4 14]. In order to fabricate SiOC(-H) thin films, many inorganic precursors such as methyltrimethoxysilane (MTMS), bistrimethylsilymethane (BTMSM), methyltrimethoxysilane (MTES), and trimethylsilane (TMS), have been used. Obviously, the precursor plays an important role in the fabrication of a low-κ thin film with desirable characteristics for specific device applications. At the same time, the amount of each constituent in the precursor material is

2 Low-Dielectric-Constant SiOC(-H) Films Prepared from Seung Hyung Kim et al responsible for the specific properties of the SiOC(-H) films. In our previous studies, we reported detailed analyzes of SiOC(-H) films deposited by using MTMS, TMS, BTMSM, and MTES precursors [15 18]. Therefore, a detailed study on various precursors is necessary to understand the formation mechanisms in SiOC(-H) films. In this study, we investigated the structural and the bonding configuration of low-dielectric-constant SiOC(- H) thin films deposited using dimethyldimethoxysilane (DMDMS) and oxygen as precursors. The effect of the annealing temperature on the structural properties of the films was studied systematically. II. EXPERIMENTS SiOC(-H) thin films were deposited on p-si(100) substrates by using a mixture of DMDMS (C 4 H 12 O 2 Si) and oxygen gases as precursors in a PECVD system at room temperature. The plasma was generated using a radiofrequency (rf) power supply with a frequency of MHz between the two electrodes. Before depositing the SiOC(-H) thin films, we evacuated the chamber to a pressure less than 10 6 Torr, and we fixed the working pressure at 150 mtorr. The rf power supply was kept as 700 W. The total flow rate of the precursors was maintained as 50 sccm, and the flow rate ratio of R(%) = [DMDMS/(O 2 + DMDMS)] 100 was varied as 50, 60, 70, 80 and 90 (%). The DMDMS precursor is a colorless transparent liquid with a purity of 99 %, a density of g/cm 3, and a boiling point of 82 C. The DMDMS precursor was supplied to the chamber by using Ar as a carrier gas, through a bubbler heated to 40 C, and the gas delivery lines were heated and kept at a constant temperature of 40 C to avoid the DMDMS vapor condensation. The refractive index and the thickness of the deposited film were measured by using an ellipsometer at a wavelength of nm and field emission scanning electron microscopy (FESEM, JSM-6700F), respectively. After deposition, the films were annealed at 100, 200, 300 and 400 C for 30 min in vacuum. Fourier-transform infrared (FTIR) spectroscopy (Bruker, IFS-120HR/FRA- 106S) was carried out in absorbance mode to characterize the chemical bonds in the film. In order to determine the dielectric constant of SiOC(-H) films, we measured the capacitance-voltage (C-V) characteristic for the metalinsulator-semiconductor (MIS) structure [Al/SiOCH/p- Si(100)] at 1 MHz by using a semiconductor parameter analyzer (HP4280A). III. RESULTS AND DISCUSSION Figure 1 shows the FTIR spectra over a wavenumber range between 700 and 4000 cm 1 of as-deposited Fig. 1. FTIR spectra of SiOC(-H) films deposited at room temperature for flow rate ratios of 50, 60, 70, 80 and 90 %. SiOC(-H) films for different flow rate ratios. The characteristics of the SiOC(-H) film showed absorption bands due to Si-O-Si (around 1055 cm 1 ), Si-O-C (around 1105 cm 1 ), Si-CH 3 (around 950 and 1250 cm 1 ), and Si- C 2 H 5 (around 750 cm 1 ) in addition to those of Si substrate. The bonding mode at 1100 cm 1 is due to Si-O-C asymmetric stretching modes in an open-link and Si-C cage-link [19]. There is shoulder at about 1150 cm 1 in all the absorption spectra, assigned to the broad Si-O-C peak, which corresponds to the Si-O-C cage-link structure resulting in more porous SiOC(-H) films [20]. This shoulder at lower frequency indicates an increased number of Si-O-C groups in the film. As expected, fractional methyl groups are incorporated in the film with increasing DMDMS flow rate ratio (see Figure 1). As the flow rate ratio of the precursor was increased, the characteristic band of Si-O-C was well separated in the SiOC(- H). The broad peak between 3700 and 3800 cm 1 corresponds to a stretching of -OH and physisorbed moisture on the surface in several modes. The precursors oxygen and DMDMS molecules are dissociated into highly reactive species that form the SiOC(-H) films with clearly separated absorbance peaks of Si-O-Si and Si-O-C band structures due to complete dissociation of the radicals and ions of the precursors. Figure 2 shows the FTIR spectra of as-deposited and annealed SiOC(-H) films deposited at a flow rate ratio of 70 %. Upon annealing, the Si-O-Si become steeper, and the peak corresponding to Si-O-C band was reduced slightly as shown in Figure 2. No significant spectral change was observed in the absorption spectra of samples annealed up to 400 C, indicating that the thermally treated film retained a substantial structural stability up to this temperature. However, as the annealing temperature was increased from 100 C to higher temperatures, the relative intensity of the Si-O-Si peak also

3 Journal of the Korean Physical Society, Vol. 50, No. 6, June 2007 Fig. 2. FTIR spectra of annealed SiOC(-H) films for a flow rate ratio of 70 %. increased. A distinguishable separation of peak position in the range of 950 to 1250 cm 1 for the Si-O-Si and the Si-O-C bonding structures was consistently observed for all the samples after the annealing treatment. The peak structures of the prominent and clearly separated Si-O- Si and Si-O-C bonds indicate that the existence of caged Si-C bonds and is evidence of enhanced porosity in the film [21]. A way to reduce the dielectric constant of a material is to reduce its weight density by increasing the free volume in the Si-O network [22, 23]. After annealing treatment, numerous Si-O-Si networks are generated due to the rearrangement of the bonding structures in the SiOC(-H) films, as shown in Figure 2. All the films exhibit the same bonding structure, and it is noted that the intensity of the Si-O-Si bond increases and that of Si-O-C bond remains unchanged as the annealing temperature increases. This is attributed to a rearrangement of the chemical bonds in SiOC(-H) during the post-annealing process. Figure 3 shows a deconvolution of the Si-O stretching bond in the region from 950 to 1250 cm 1 of the as-deposited and the annealed films of SiOC(-H) for a flow rate ratio of 70 %. The peak can be decomposed into its four constituents by using Gaussian peak fitting. These four peaks correspond to the Si-O-Si asymmetric stretching (peak position appearing between 1019 and 1023 cm 1 ), the Si-O-C ring-link (between 1057 and 1060 cm 1 ), the open-link (at 1102 and 1108 cm 1 ), and the cage-link (between 1141 and 1155 cm 1 ) structures. The peak position of the Si-O-Si bonding mode slightly shifted with annealing temperature, and this frequency shift in the FTIR spectra is related to changes in the bonding characteristics, such as bond length and bonding structure [24]. Figure 4 shows the relative absorption areas of the Si-O-Si asymmetric stretching, ring-link, open-link, and cage-link modes of the Si-O-C bond for the as-deposited Fig. 3. Deconvolution of the Si-O stretching absorption bond of SiOC(-H) film for a flow rate ratio of 70 % in the region of cm 1 for as-deposited and annealed samples. Fig. 4. Relative absorption areas of the Si-O-Si and the Si-O-C bonding modes of SiOC(-H) films deposited at a flow rate ratio of 70 %. and the annealed SiOC(-H) films for a flow rate ratio of 70 %. The relative absorption area of each bonding structure was deduced from the deconvoluted region between 950 and 1250 cm 1, as depicted in Figure 2. Based on the FTIR spectra presented above for SiOC(-H) films prepared using the DMDMS precursor, we could calculate the relative absorption area for the as-deposited and the annealed samples. The relative absorption areas of the Si-O-Si bond and the Si-O-C ringlink modes increased slightly with annealing as shown in

4 Low-Dielectric-Constant SiOC(-H) Films Prepared from Seung Hyung Kim et al Fig. 5. Relative absorption area (%) of the Si-CH 3 bonds of the SiOC(-H) films deposited at different DMDMS/ (DMDMS+O 2) flow rate ratios. Fig. 7. Dielectric constant of as-deposited SiOC(-H) films as a function of annealing temperature for five flow-rate ratios. Fig. 6. Refractive index of as-deposited SiOC(-H) films as a function of the flow rate ratio. Figure 4. However, the relative absorption area of the Si-O-C open-link structure decreased, in contrast with increasing of Si-O-C cage-link structure, with increasing annealing temperature. From this results, the annealing process of SiOC(-H) films led to a rearrangement of the bonding configurations, resulting in more open-link structures being converted into cage-link structures [19, 21]. These results demonstrate that the increase in the Si-O-C ring-link structure with annealing results in an enhanced porosity in the film. We can infer that the increases in the Si-O-C ring-link and the cage-link structures with annealing results in an enhanced porosity in the film. It is believed that the cage structures of Si-O-Si can produce a nanoporous structure, resulting in a lower film density [22]. Figure 5 shows the carbon content as a function of an- nealing temperature for the SiOC(-H) films deposited at different DMDMS/(DMDMS+O 2 ) flow rate ratios. The relative carbon content is calculated by normalizing the peak height of the Si-O-Si stretch mode over the FTIR spectra from cm 1 as [Si-CH 3 /(Si-O)+(Si- CH 3 )]. The absorption intensities corresponding to the Si-CH 3 wavenumber regions cm 1 and 1250 and 1350 cm 1 were taken into account for the calculations. The amount of carbon content in the SiOC(-H) film increased with the flow rate of the precursors. The relative amount of carbon content in the film deposited at a flow rate ratio of 90 % was found to be two times greater than that of the film deposited at a flow rate ratio of 50 %. Figure 6 shows the refractive index of the as-deposited SiOC(-H) films for different flow rate ratios. The films with a larger fraction of DMDMS, in which more methyl groups were incorporated into the silica network had lower refractive indices because the Si-CH 3 group has larger molar volume and a smaller polarizability than the Si-O group does. It is well known that a lower refractive index may indicate a higher film porosity [25]. Obviously, more cage structures are incorporated in the film at lower deposition temperatures, so the film s density should be smaller. As the flow rate ratio was increased, the refractive index gradually decreased to a value of corresponding to a flow rate ratio of 90 %, as shown in Figure 6. Figure 7 shows the dielectric constant of the asdeposited and the annealed SiOC(-H) films for different flow rate ratios. It is clearly observed that for a higher flow rate ratio results in a low dielectric constant SiOC(- H) films due to abundant incorporation of carbon atoms in the film. A film with a dielectric constant of about 2.15 could be obtained by annealing at 400 C. From a combination of the structural and electrical behaviors

5 Journal of the Korean Physical Society, Vol. 50, No. 6, June 2007 shown in Figures 2, 3, 4, and 5, it is evident that an enhancement of the cage-link mode due to rearrangement of bonding configurations within SiOC(-H) film reduces the dielectric constant. This is attributed to the lower polarizability of Si-CH 3 bonds, which gives rise to the lower dielectric constant. A possible mechanism responsible for the decrease in the dielectric constant in the SiOC(- H) film is an incorporation of an abundant amount of carbon atoms into the film via the -CH 3 network. It is concluded that the bonding rearrangement due to annealing and to the DMDMS flow rate ratio in the films is crucial for obtaining a lower dielectric constant. IV. CONCLUSIONS SiOC(-H) thin films with low dielectric constants were deposited on p-si(100) substrates by means of PECVD with a mixture of DMDMS and oxygen gases at different flow rate ratios. The bonding structure and the dielectric properties of the SiOC(-H) thin films were analyzed as functions of the post-annealing temperature. The FTIR analysis revealed that the SiOC(-H) film was comprised of clearly distinguishable bonds of Si-O-Si and Si-O-C. When the DMDMS flow rate was increased, more and more -CH 3 and -H groups were introduced into the Si- O-Si network. The relative absorbance of carbon in the SiOC(-H) film was increased from 5 to 11 % when the DMDMS flow rate ratio was increased from 50 to 90 %. The results indicated that the abundant incorporation of carbon atoms into the SiOC(-H) film with increasing flow rate ratio causes a reduction in the dielectric constant of the film. A lower refractive index and dielectric constant could be obtained for the SiOC(-H) films prepared at higher flow rate ratios. With increasing annealing temperature, the number of cage-linked Si-O-C structures increased; consequently, the dielectric constant of the films decreased. The lowest dielectric constant of about 2.15 was obtained for the SiOC(-H) film annealed at 400 C at a DMDMS/(DMDMS+O 2 ) flow rate ratio of 70 %. Although the film did not exhibit any changes in terms of composition and chemical structure after annealing, a reduction in the dielectric constant was obtained. ACKNOWLEDGMENTS This research was performed as part of the Nuclear R & D programs funded by the Ministry of Science & Technology (MOST) of Korea, and this work was also supported by the System IC 2010 project of the Korea Ministry of Commerce, Industry and Energy. The researchers involved in this study were supported by a grant from the 2nd Stage BK-21 Project. One of the authors (R. N.) acknowledges a Korea Research Foundation (KRF) grant, No. KRF C00022, for the award of a KRF fellowship. REFERENCES [1] Y. Xu, Y. Tsai, K. N. Tu, B. Zhao, Q. Z. Liu, M. Brongo, G. T. T. Sheng and C. H. Tung, Appl. Phys. Lett. 75, 853 (1999). [2] K. Maex, M. R. Baklanov, D. Shamiryan, F. Lacopi, S. H. Brongersma and Z. S. Yanovitskaya, J. Appl. Phys. 93, 8790 (2003). [3] K. Endo, K. Shinoda and T. Tatsumi, J. Appl. Phys. 86, 2739 (1999). [4] J. Y. Kim, M. S. Hwang, Y. H. Kim, H. J. Kim and Y. Lee, J. Appl. Phys. 90, 2469 (2001). [5] T. C. Chang, Y. S. Mor, S. M. Sze, Y. L. Yang, M. S. Feng, F. M. Pan, B. T. Dai and C. Y. Chang, J. Electrochem. Soc. 146, 3802 (1999). [6] S. W. Chung, J. H. Shin, N. H. Park and J. W. Park, Jpn, J. Appl. Phys. 38, 651 (1999). [7] C. S. Yang, K. S. Oh, J. Y. Ryu, D. C. Kim, S. Y. Jing and C. K. Choi, Thin Solid Films 390, 113 (2001). [8] C. S. Yang, Y. H. Yu, K. M. Lee, H. J. Lee and C. K. Choi, Thin Solid Films 435, 165 (2003). [9] S. K. Jangjean, C. P. Liu, Y. L. Wang, W. S. Hwang and W. T. Tseng, Thin Solid Films , 460 (2004). [10] T. K. S. Wong, B. Liu, B. Narayanan, V. Ligatchev and R. Kumar, Thin Solid Films , 156 (2004). [11] S. K. Jangjean, C. P. Liu, Y. L. Wang, W. S. Hwang, W. T. Tseng, S. W. Chen and K. Y. Lo, Thin Solid Films , 674 (2004). [12] D. Moore, R. Carter, H. Cui, P. Burke, P. McGrath, S. Q. Gu, D. Gidley and H. Peng, J. Vac. Sci. Technol. B 23, 332 (2005). [13] K. Meera, C. S. Yang and C. K. Choi, J. Korean Phys. Soc. 48, 1713 (2006). [14] H. J. Lee, K. S. Oh and C. K. Choi, Surf. Coat. Technol. 171, 296 (2003). [15] C. S. Yang and C. K. Choi, J. Korean Phys. Soc. 45, S642 (2004). [16] C. S. Yang, Y. H. Yu, K. M. Lee, H. J. Lee and C. K. Choi, Thin Solid Films , 50 (2006). [17] C. S. Yang, Y. H. Yu, K. M. Lee, H. J. Lee and C. K. Choi, Thin Solid Films 475, 150 (2005). [18] R. Navamathavan, K. M. Lee and C. K. Choi, J. Korean Phys. Soc. 48, 1675 (2006). [19] A. Grill and V. Pastel, Appl. Phys. Lett. 79, 803 (2001). [20] L. M. Han, J. S. Pan, S. M. Chen, N. Balasubramanian, J. Shi, L. S. Wong and P. D. Foo, J. Electrochem. Soc. 148, F148 (2001). [21] A. Grill and D. A. Neumayer, J. Appl. Phys. 94, 6697 (2003). [22] A. Grill, V. Patel, K. P. Rodbell, E. Huang, M. R. Baklanov, K. P. Mogilnikov, M. Toney and H. C. Kim, J. Appl. Phys. 94, 3427 (2003). [23] R. M. Almedia and C. G Pantano, J. Appl. Phys. 68, 4225 (1990). [24] P. N. Sen and M. F. Thrope, Phys. Rev. B. 15, 4030 (1997). [25] S. Yu, T. K. S. Wong, X. Hu and K. Pita, Thin Solid Films , 311 (2004).

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition

The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Thin Solid Films 515 (2007) 5035 5039 www.elsevier.com/locate/tsf The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Jaeyeong

More information

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195 ptimized Materials Properties for rganosilicate Glasses Produced by Plasma-Enhanced Chemical Vapor Deposition M.L. Neill, R.N. Vrtis, J.L. Vincent, A.S. Lukas, E.J. Karwacki, B.K. Peterson, and M.D. Bitner

More information

Experimental verification of physical models for defect states in. crystalline and amorphous ultrathin dielectric films

Experimental verification of physical models for defect states in. crystalline and amorphous ultrathin dielectric films Experimental verification of physical models for defect states in crystalline and amorphous ultrathin dielectric films H. Ren, 1 M. T. Nichols, 1 G. Jiang, 2 G. A. Antonelli, 3 Y. Nishi, 4 and J.L. Shohet

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Mechanistic study of plasma damage of low k dielectric surfaces

Mechanistic study of plasma damage of low k dielectric surfaces Mechanistic study of plasma damage of low k dielectric surfaces J. Bao, a H. Shi, J. Liu, H. Huang, and P. S. Ho Laboratory for Interconnect and Packaging, Microelectronics Research Center, The University

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

IBM Research Report. The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics

IBM Research Report. The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics RC23683 (W0508-008) August 1, 2005 Materials Science IBM Research Report The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics A. Grill, V. Patel IBM Research Division Thomas

More information

Measurement and Analysis of Water Adsorption in Porous Silica Films

Measurement and Analysis of Water Adsorption in Porous Silica Films Journal of The Electrochemical Society, 153 8 G759-G764 2006 0013-4651/2006/153 8 /G759/6/$20.00 The Electrochemical Society Measurement and Analysis of Water Adsorption in Porous Silica Films Shin-Ichiro

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1162 1166 A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Y. S.

More information

Author(s) Okuyama, H; Aruga, T; Nishijima, M. Citation PHYSICAL REVIEW LETTERS (2003), 91(

Author(s) Okuyama, H; Aruga, T; Nishijima, M. Citation PHYSICAL REVIEW LETTERS (2003), 91( Title Vibrational characterization of the Si(111)-(7x7) Author(s) Okuyama, H; Aruga, T; Nishijima, M Citation PHYSICAL REVIEW LETTERS (2003), 91( Issue Date 2003-12-19 URL http://hdl.handle.net/2433/49840

More information

Surface texture modification of spin-coated SiO 2 xerogel thin films by TMCS silylation

Surface texture modification of spin-coated SiO 2 xerogel thin films by TMCS silylation Bull. Mater. Sci., Vol. 35, No. 2, April 2012, pp. 151 155. c Indian Academy of Sciences. Surface texture modification of spin-coated SiO 2 xerogel thin films by TMCS silylation YOGESH S MHAISAGAR, BHAVANA

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity

The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity , pp.21-27 http://dx.doi.org/10.14257/astl.2017.145.05 The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity Don-Kyu Lee Electrical Engineering,

More information

Laser Crystallization of Organic-Inorganic Hybrid

Laser Crystallization of Organic-Inorganic Hybrid Supporting information Laser Crystallization of Organic-Inorganic Hybrid Perovskite Solar Cells Taewoo Jeon, Hyeong Min Jin, Seung Hyun Lee, Ju Min Lee, Hyung Il Park, Mi Kyung Kim, Keon Jae Lee, Byungha

More information

FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS

FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS Romanian Reports in Physics, Vol. 68, No. 3, P. 1108 1114, 2016 FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS V. MĂRĂSCU 1, 2,*, S. VIZIREANU 2, S. D. STOICA 2, V. BARNA 1, A. LAZEA- STOYANOVA

More information

Analysis of a MgO Protective Layer Deposited with Ion-Beam-Assisted Deposition in an AC PDP

Analysis of a MgO Protective Layer Deposited with Ion-Beam-Assisted Deposition in an AC PDP Journal of the Korean Physical Society, Vol. 49, No. 6, December 2006, pp. 2332 2337 Analysis of a MgO Protective Layer Deposited with Ion-Beam-Assisted Deposition in an AC PDP Zhao Hui Li, Eou Sik Cho

More information

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film Diamond & Related Materials 14 (2005) 1126 1130 www.elsevier.com/locate/diamond The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film C.W. Chen a, *, C.C. Huang a,

More information

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment Mat. Res. Soc. Symp. Vol. 635 2001 Materials Research Society Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment Donglu Shi, Zhou Yu, S. X. Wang 1, Wim J.

More information

Bipolar resistive switching in amorphous titanium oxide thin films

Bipolar resistive switching in amorphous titanium oxide thin films Bipolar resistive switching in amorphous titanium oxide thin films Hu Young Jeong and Jeong Yong Lee Department of Materials Science and Engineering, KAIST, Daejeon 305-701, Korea Min-Ki Ryu and Sung-Yool

More information

A Scalable Synthesis of Few-layer MoS2. Incorporated into Hierarchical Porous Carbon. Nanosheets for High-performance Li and Na Ion

A Scalable Synthesis of Few-layer MoS2. Incorporated into Hierarchical Porous Carbon. Nanosheets for High-performance Li and Na Ion Supporting Information A Scalable Synthesis of Few-layer MoS2 Incorporated into Hierarchical Porous Carbon Nanosheets for High-performance Li and Na Ion Battery Anodes Seung-Keun Park, a,b Jeongyeon Lee,

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2016 Electronic Supplementary Information Super Flexible, High-efficiency Perovskite

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003 Supporting Information for Angew. Chem. Int. Ed. Z52074 Wiley-VCH 2003 69451 Weinheim, Germany Kinetic and Thermodynamic Control via Chemical Bond Rearrangement on Si(001) Surface Chiho Hamai, Akihiko

More information

Structural evolution of silicone oil liquid exposed to Ar plasma

Structural evolution of silicone oil liquid exposed to Ar plasma Structural evolution of silicone oil liquid exposed to Ar plasma Yuan Yuan( 袁圆 ), Ye Chao( 叶超 ), Huang Hong-Wei( 黄宏伟 ), Shi Guo-Feng( 施国峰 ), and Ning Zhao-Yuan( 宁兆元 ) School of Physics Science and Technology,

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene Supplementary Information for Origin of New Broad Raman D and G Peaks in Annealed Graphene Jinpyo Hong, Min Kyu Park, Eun Jung Lee, DaeEung Lee, Dong Seok Hwang and Sunmin Ryu* Department of Applied Chemistry,

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Yu Yao 1, Raji Shankar 1, Patrick Rauter 1, Yi Song 2, Jing Kong

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

A new method of growing graphene on Cu by hydrogen etching

A new method of growing graphene on Cu by hydrogen etching A new method of growing graphene on Cu by hydrogen etching Linjie zhan version 6, 2015.05.12--2015.05.24 CVD graphene Hydrogen etching Anisotropic Copper-catalyzed Highly anisotropic hydrogen etching method

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Low Temperature Plasma CVD Grown Graphene by Microwave Surface-Wave Plasma CVD Using Camphor Precursor

Low Temperature Plasma CVD Grown Graphene by Microwave Surface-Wave Plasma CVD Using Camphor Precursor Journal of Physical Science and Application 6 (2) (2016) 34-38 doi: 10.17265/2159-5348/2016.02.005 D DAVID PUBLISHING Low Temperature Plasma CVD Grown Graphene by Microwave Surface-Wave Plasma CVD Using

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information

Resistive switching behavior of reduced graphene oxide memory cells for low power nonvolatile device application

Resistive switching behavior of reduced graphene oxide memory cells for low power nonvolatile device application Resistive switching behavior of reduced graphene oxide memory cells for low power nonvolatile device application S. K. Pradhan, Bo, Xiao, S. Mishra, A. Killam, A. K. Pradhan Center for Materials Research,

More information

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition Composites: Part B 30 (1999) 685 689 www.elsevier.com/locate/compositesb Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition K. Ebihara*,

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

Half-Integer Quantum Conductance States

Half-Integer Quantum Conductance States Supporting Information A 50 mv Cu/SiO /W Memristor with Half-Integer Quantum Conductance States S. R. Nandakumar, Marie Minvielle, Saurabh Nagar, Catherine Dubourdieu, and Bipin Rajendran, Department of

More information

Laser-synthesized oxide-passivated bright Si quantum dots for bioimaging

Laser-synthesized oxide-passivated bright Si quantum dots for bioimaging Supplementary Information to Laser-synthesized oxide-passivated bright Si quantum dots for bioimaging M. B. Gongalsky 1, L.A. Osminkina 1,2, A. Pereira 3, A. A. Manankov 1, A. A. Fedorenko 1, A. N. Vasiliev

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

1 Corresponding author:

1 Corresponding author: Scanning Tunneling Microscopy Study of Cr-doped GaN Surface Grown by RF Plasma Molecular Beam Epitaxy Muhammad B. Haider, Rong Yang, Hamad Al-Brithen, Costel Constantin, Arthur R. Smith 1, Gabriel Caruntu

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Structures and Field Emission Properties of Silicon Nanowire Arrays Implanted with Energetic Carbon Ion Beam

Structures and Field Emission Properties of Silicon Nanowire Arrays Implanted with Energetic Carbon Ion Beam Copyright 212 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 12, 1 5, 212 Structures and Field Emission Properties

More information

Thin Solid Films 529 (2013) Contents lists available at SciVerse ScienceDirect. Thin Solid Films

Thin Solid Films 529 (2013) Contents lists available at SciVerse ScienceDirect. Thin Solid Films Thin Solid Films 529 (2013) 200 204 Contents lists available at SciVerse ScienceDirect Thin Solid Films journal homepage: www.elsevier.com/locate/tsf Resistive switching characteristics of gallium oxide

More information

SUPPLEMENTARY INFORMATION. Observation of tunable electrical bandgap in large-area twisted bilayer graphene synthesized by chemical vapor deposition

SUPPLEMENTARY INFORMATION. Observation of tunable electrical bandgap in large-area twisted bilayer graphene synthesized by chemical vapor deposition SUPPLEMENTARY INFORMATION Observation of tunable electrical bandgap in large-area twisted bilayer graphene synthesized by chemical vapor deposition Jing-Bo Liu 1 *, Ping-Jian Li 1 *, Yuan-Fu Chen 1, Ze-Gao

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers Effects of Ultraviolet Exposure on the current-voltage characteristics of high-k dielectric layers H. Ren 1, A. Sehgal 1, G.A. Antonelli 2, Y. Nishi 3 and J.L. Shohet 1 1 Plasma Processing & Technology

More information

High-Performance Flexible Asymmetric Supercapacitors Based on 3D. Electrodes

High-Performance Flexible Asymmetric Supercapacitors Based on 3D. Electrodes Supporting Information for: High-Performance Flexible Asymmetric Supercapacitors Based on 3D Porous Graphene/MnO 2 Nanorod and Graphene/Ag Hybrid Thin-Film Electrodes Yuanlong Shao, a Hongzhi Wang,* a

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Influence of temperature and voltage on electrochemical reduction of graphene oxide

Influence of temperature and voltage on electrochemical reduction of graphene oxide Bull. Mater. Sci., Vol. 37, No. 3, May 2014, pp. 629 634. Indian Academy of Sciences. Influence of temperature and voltage on electrochemical reduction of graphene oxide XIUQIANG LI, DONG ZHANG*, PEIYING

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Low-k Dielectrics, Processing, and Characterization

Low-k Dielectrics, Processing, and Characterization Low-k Dielectrics, Processing, and Characterization Mat. Res. Soc. Symp. Proc. Vol. 812 2004 Materials Research Society F1.2 Molecular Caulk: A Pore Sealing Technology for Ultra-low k Dielectrics Jay

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

High Salt Removal Capacity of Metal-Organic Gel Derived. Porous Carbon for Capacitive Deionization

High Salt Removal Capacity of Metal-Organic Gel Derived. Porous Carbon for Capacitive Deionization Supporting Information High Salt Removal Capacity of Metal-Organic Gel Derived Porous Carbon for Capacitive Deionization Zhuo Wang, Tingting Yan, Guorong Chen, Liyi Shi and Dengsong Zhang* Research Center

More information

Joshua Whittam, 1 Andrew L. Hector, 1 * Christopher Kavanagh, 2 John R. Owen 1 and Gillian Reid 1

Joshua Whittam, 1 Andrew L. Hector, 1 * Christopher Kavanagh, 2 John R. Owen 1 and Gillian Reid 1 Supporting Information: Combination of Solid State and Electrochemical Impedance Spectroscopy to Explore Effects of Porosity in Sol-Gel Derived BaTiO3 Thin Films Joshua Whittam, 1 Andrew L. Hector, 1 *

More information

Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100)

Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100) Paper Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100) Hye Chung Shin, 1 Lee Seul Son, 1 Kyeom Ryong Kim, 1 Suhk Kun Oh, 1 Hee Jae Kang, 1*

More information

Functionalization of reduced graphene oxides by redox-active ionic liquids for energy storage

Functionalization of reduced graphene oxides by redox-active ionic liquids for energy storage Supplementary Material (ESI) for Chemical Communications Functionalization of reduced graphene oxides by redox-active ionic liquids for energy storage Sung Dae Cho, a Jin Kyu Im, b Han-Ki Kim, c Hoon Sik

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Stabilizing the forming process in unipolar resistance switching

Stabilizing the forming process in unipolar resistance switching Stabilizing the forming process in unipolar resistance switching using an improved compliance current limiter S. B. Lee, 1 S. H. Chang, 1 H. K. Yoo, 1 and B. S. Kang 2,a) 1 ReCFI, Department of Physics

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

Generation of strong electric fields in an ice film capacitor

Generation of strong electric fields in an ice film capacitor Generation of strong electric fields in an ice film capacitor Sunghwan Shin, Youngsoon Kim, Eui-seong Moon, Du Hyeong Lee, Hani Kang, Heon Kang Department of Chemistry, Seoul National University, 1 Gwanak-ro,

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Normalized Contact Force to Minimize Electrode Lead. Resistance in a Nanodevice

Normalized Contact Force to Minimize Electrode Lead. Resistance in a Nanodevice Normalized Contact Force to Minimize Electrode Lead Resistance in a Nanodevice Seung-Hoon Lee 1, Jun Bae 2, Seung Woo Lee 2, and Jae-Won Jang 1,* 1 Department of Physics, Pukyong National University, Busan

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes Multicolor Graphene Nanoribbon/Semiconductor Nanowire Heterojunction Light-Emitting Diodes Yu Ye, a Lin Gan, b Lun Dai, *a Hu Meng, a Feng Wei, a Yu Dai, a Zujin Shi, b Bin Yu, a Xuefeng Guo, b and Guogang

More information

Supporting Information

Supporting Information Copyright WILEY-VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2017. Supporting Information for Adv. Energy Mater., DOI: 10.1002/aenm.201701456 Selective Etching of Nitrogen-Doped Carbon by Steam

More information

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor Supporting Information Direct Growth of Graphene Films on 3D Grating Structural Quartz Substrates for High-performance Pressure-Sensitive Sensor Xuefen Song, a,b Tai Sun b Jun Yang, b Leyong Yu, b Dacheng

More information

Supporting Infromation

Supporting Infromation Supporting Infromation Transparent and Flexible Self-Charging Power Film and Its Application in Sliding-Unlock System in Touchpad Technology Jianjun Luo 1,#, Wei Tang 1,#, Feng Ru Fan 1, Chaofeng Liu 1,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Engineered doping of organic semiconductors for enhanced thermoelectric efficiency G.-H. Kim, 1 L. Shao, 1 K. Zhang, 1 and K. P. Pipe 1,2,* 1 Department of Mechanical Engineering, University of Michigan,

More information

Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method

Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method F. L. Martínez a, R. Ruiz-Merino a, A. del Prado b, E. San Andrés b, I.

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

Applied Surface Science

Applied Surface Science Applied Surface Science 255 (2009) 7708 7712 Contents lists available at ScienceDirect Applied Surface Science journal homepage: www.elsevier.com/locate/apsusc Surface properties of silicon oxide films

More information

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society Mat. Res. Soc. Symp. Proc. Vol. 812 2004 Materials Research Society F1.2.1 Molecular Caulk: A Pore Sealing Technology for Ultra-low k Dielectrics Jay J. Senkevich 1, Christopher Jezewski 1,2, Deli Lu 1,

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Information High Photo- and Electroluminescence Efficiencies of Ladder-like Structured Polysilsesquioxane with Carbarzole Groups Seung-Sock Choi, a,b He Seung Lee, a Seung Sang

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information