Surface Reaction Modeling for Plasma Etching of SiO 2

Size: px
Start display at page:

Download "Surface Reaction Modeling for Plasma Etching of SiO 2"

Transcription

1 Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp m r i Ž m m i r, q rl r rte v v (2006 9o 5p r, o 26p }ˆ) Surface Reaction Modeling for lasma Etching of SiO 2 Thin Film YeonHo Im Nanomaterials Research Center and School of Chemical Engineering and Technology, Chonbuk National University, , 1-ga, Duckjin-dong, Duckjin-gu, Chonju , Korea (Received 5 September 2006, accepted 26 September 2006) k l l FC(fluorocarbon) v p l p pml vl q v, e v p q p r d p l p m. SiO 2 e l pp e p mlp q CSTR(continuous stirred tank reactor) rp p l pm nl p e p l. r ˆ q p e v p q pp p pm nl p q ƒ vp rk l m. p ƒ vp e q r r l mp, p e v e p pn l m. s p p ˆ p v o l e m. h Abstract A realistic surface model is presented for prediction of various surface phenomena such as polymer deposition, suppression and sputtering as a function of incidence ion energy in high density fluorocarbon plasmas. This model followed ion enhanced etching model using the well-mixed or continuous stirred tank reactor (CSTR) assumption to the surface reaction zone. In this work, we suggested ion enhanced polymer formation and decomposition mechanisms that can capture SiO 2 etching through a steady-state polymer film on SiO 2 under the suppression regime. These mechanisms were derived based on experimental data and molecular dynamic simulation results from literatures. The model coefficients are obtained from fits to available beam and plasma experimental data. In order to show validity of our model, we compared the model results to high density fluorocarbon plasma etching data. Key words: SiO 2, Etching, Surface Reaction, High Density lasma, Modeling 1. ~ lp p p rqp vr p. p o p q v rp pp, p q p tn p p [1]. p p v rp pn or p e rl ps pp v rp q p p l ~ rp rp r t rp l p rp p. er ~ rp p l p (aspect ratio)p e, p e ˆ p n. p e p o ~ lp s rp r m To whom correspondence should be addressed. yeonhoim@chonbuk.ac.kr l v q e ~l p v p [2-3]. v p l e pp p t s l vp p pm p l vl p r. e p e rp p l e l np FC(fluorocarbon) lp ~ n pp, q p (sidewall)p l p [2]. kn, v FC q p l o l ~ lp o t pp, kp p l l p l p pp q p p l er rp m o r r p l n erp [2-3]. k v e l l p v e e, t pms (beam) e q r r p k r p l l [2-10]., e p e 520

2 p l p v pl t s pms p l e l l p [6-9, 11]. p p e pp Langmuir mel q pp r l er rp p o r rp n p [6-7, 9]. l p e ol FC p lv q p r ˆ e p p rk p [2-3, 9]. p e p ˆ p pv q r r p q p p q tl p [10]. Abraham-Shrauner e p e o e e pl p rr p o Langmuir mep rn q p p rk mp, p t n pqp v e p e lp ep n l r rp o l ˆ p pv m [12]. q v l p v pp vrp q p p ~ l ql e rp l p rp l n erp. l l v v v e, q r r e l l er rp q m p p m. e pp CSTR(continuous stirred tank reactor) rp p l FC q l e l ƒ vp l. l p rk p p, F pm dp l ˆ p Š mp, srp pml vp l e pl e m m. 2. m n e p e p FC(fluorocarbon) ~ n p p e p o q v l np v p n p. Fig. 1p p rp v p ˆ pm (ionization), l (excitation) (dissociation) p pp k ps pm p. l l FC v l rp ps (, F) pms p m. FC v l pp k e l p p rp mlp p [2, 3]. Fig. 2(a) Žl p p e p e p 521 Fig. 1. Schematic of plasma-surface interactions in fluorocarbon plasma. ml vp l v e pp ˆ, k l p d (sputtering) mll r e. v (deposition) lr(suppression) m lp Fig. 2(b)m p e op FC lp q l q pl p v, tn p p p r l e erp., lr mlp rp p ~ p rrp p e k l p(bowing) p rp m p p. l l lr mlp q l ps p CSTR rp p l p l m. p p s SiO 2 pp k e l llv p r r ep n m. kn, l p q r r e rp l l lr mll SiO 2 p v ps p p nl q SiO 2 l pm l p p rk m. l l rk p p p el n p v e m SiO 2 Ž m ps p e pl ps p p Fig. 2m p v m vrrp r p q l p l e l vrrp. p p l q r r [10] e [3]l rp, l l CSTR rp p l p p m. Fm Fig. 2. (a) The etching yield as a function of ion energy based on the observed experimental trends. (b) Schematic of the model that describes the SiO 2 etching mechanism in the suppression regime. Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

3 522 p l Table 1. Reaction set for SiO 2 etching in fluorocarbon plasma Reaction rocess Flux dependence Surface coverage Rate coefficient Reaction sets for SiO 2 etching in ion-induced layer Reaction with F atoms [1] SiO 2 2F SiO F (g) 2 Neutral adsorption on clean SiO 2 Γ F θ SiO2 s F [2] SiO 2 F 2F I SiF O (s) 2SiO 4(g) 2(g) 2(*) Ion-enhanced chemical etching θ F Y MF [3] SiO 2 CF F SiO (s) (s) 2 x1(g) Recombination of CFx with F Γ F K Reactions with fluorocarbon species [4] SiO CF SiO CF x(g) 2 x(s) Neutral adsorption on clean SiO 2 θ SiO2 s CFx [5] SiO [CF ] I SiO x n 2 (s) Ion-activated polymer decomposition (1-θ T ) [6] SiO [CF ] I SiO x 2 2 [ ] n Ion-enhanced polymer formation θ [CFx]2 Y M [7] SiO [CF ] I SiO x 2 2 [ ] θ [CFx]2 Y MC site formation by ion bombardment [8] SiO [CF ] F I SiO x 2 (s) 2 [ ] θ [CFx]2 Y MF [9] I 2SiO 2 (s) SiF 2CO SiO x(g) 2(g) 2(*) Ion-enhanced chemical etching by Y ME Reaction sets for fluorocarbon deposition Reaction with F atoms [10] [ ] F I n(s) [CF (s) x ] n 1(s) Ion-enhanced etching of polymer θ F/ Y F Reactions with fluorocarbon species [11] [ ] CF [CF ] n(s*) x(s) x n1(s) olymer deposition * / s CFx [12] I [ ] n(s) [ ] n 1(s) consumption by ion bombardment / Y C [13] I [ ] n(s) [ ] n(s*) Ion-activated polymerization site formation / Y S p e q p pe p Table 1 p [1], [4]m [11]l ˆ l. e pp ps (F, ) p š p(surface coverage, θ) r (sticking coefficient), ps p pv kp (1-θ)l r (S) v. l l l ps p p p p p l ˆ r m. š pp q p CSTR rp p p p. ps d(γ)l ep p p p. Γ ADS = SΓ( 1 θ) p pel n r p l p n m. r m l p v pl l ps l (beam) e l l p [11, 13-15]., Gray p e p e p mlp CSTR r l r p m, l l r e l rn l r. l, Gogolides p Gray p e p q l q ˆ p pv m. l l p p p pn m, Table 2l l v ps l r ˆ l pm nl p r d (ion-enhanced chemical sputtering) e p e pp Table 1p [2]m [9]p pe p F l v d(sheath) mll pml p SiF x s p ˆ p p [9, 15]. p e rp pmp nl p r d p, e p rp p p. ER = Y θ SiFx o44 o k (1) (2) Table 2. Coefficients and parameters for the SiO 2 surface model Value S F 0.02 S CFx 0.9 S F/[CFx] 0.1 S CFx/[CFx] 0.1 S CFx/ 0.1 S F/ 0.01 K Y MF E >, ( E ), =4.0eV E>, ( E ), =4.0eV Y M /2< E <, ( E ), = 128 ev, Y ME E < /2, E >, ( E E ), =4.0eV E >, ( E ), = 20.0 ev Y F E >, ( E ), =4.0 ev Y C E >, 0.45 ( E ), = 35.0 ev Y S E >, ( E ), =4.0 ev l, Y, θ SiFx m pm r d p(chemical sputtering yield), SiF x p š p pm d ˆ. e pp pml v ps p v pep [9]. Y = Y O ( E ) Y O m e p m e pp eq pm p l v(threshold energy) p, k e p Table 2l ˆ l r d (physical sputtering) e p e pp r d nl p l v pml p r d l p p (3)

4 . p e r d d p p. ER = Y( 1 θ) l, Ym 1 θ, r d p(physical sputtering yield), v l SiO 2p p pm d ˆ. r d pp pml v ps p, r d p e o Table 2l ˆ l pm nl p q v (ion-enhanced polymer formation and decomposition) e l s p pm l p q e pp ppˆ [2-3,11]. Gray p e e p k v l tn sp CF 2m Ar p m e pml vl p e m špp m [13]. p p e p e p CF 2p v e p q pp l Fig. 2(a)p d mll r q m v pn p [16]. e rl tn l p Fig. 2(a)p lr mll, p p rp p mlp v p. e q r r p p ml l q p r ˆ e e p ƒ vp rk p [2-3, 10]. kv v ƒ vl ~rp p r r p erp. l l Fig. 2(a)p r mlp o pm l p q pl p ˆ Table 1p [5-8] p p rk m., Table 1p pe [5] e l p q pm l p l e p e p q. p r ep p q p r ˆ e p p. Table 1l n q v p(y M, Y MC ) p p llrp [11], q p( )p Oehrleinp e m l m [17]. l l n p p Table 2l r l ˆ l. v p l Žp p pmp l v p nl q v pp. l Chae Schaepkens p l v pml p q v e p m [9, 18]. p l p p mp q s p l q v p. l l v mll p p p rn mp, Table 1p [10-13] Table 2l pe p ˆ l SiO 2 rym Ž m l l rk p v l k r s l e p r~ mll p p pp, Table 1 2l p l pe p ˆ l. rk p l l e o l F, q p špl r ˆ ve p p p. e p e p 523 (4) dθ F = S (5) F ( 1 θ T )Γ F 2Y MF θ F d = S CFx ( 1 θ T ) θ [ CFx]2Γ I K Γ F 2Y ME S CFx [ CFx] dθ = Y MS [ CFx] l, θ T = θ F p., [ CFx] m θ F [ CFx] e l ol F p š pp p, r ˆ ve p p. d [ CFx ] = S CFx [ CFx] ( Y M Y MC ) [ CFx] (8) dθ F [ CFx ] = S (9) F [ CFx] [ CFx] Γ F Y MF θ F [ CFx] (5~9)ep lr d mll r ˆ e p v e l p e p. e p o p p p rp, = Γ F, =, Var = K 2Y ME S CFx, Var1 = Y M Y MC S F/[CFx] Var2=1Y MC Var1/Y M S CFx/[CFx] /Y M S CFx/[CFx] / Y MF (5~9)ep l s l šp p p. = 1 2Y MF S F R F Var2 Var2 Y Y MS MC S F [ CFx]2 VarVar1 S CFx [ 1 2Y MF S F ] (10) θ F = 1 [Var Y MC S F [ CFx]2 VarVar1 S CFx ] (11) = Var1 S CFx CFx [ CFx] = Y M θ F [ CFx] = S F [ CFx] [ ] Y MF Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006 (6) (7) (12) (13) (14) p e p e e pp lr d mll rn, s e p(si oq /pm)p p p. EY = θ F Y MF Y ME ( 1 θ T ) (15) e e p q pp l er q p l l p pm t s l r p k. l l p ˆ p v o v dl pm t s l r pn mp, q l ps p p pm (ion scattering) p evrp p l d p.

5 524 p l p pml vl q v l Fm q q l š p (θ F/, */ )p op e p p ep r ˆ vep p. dθ F = S F ( 1 )Γ F Y F θ F (16) dθ * = Y S ( 1 ) S CFx θ * (17) l, θ F θ * = 1p ep. op ep l l p p šp p lp p. S F S CFx/ = S F S CFx/ S CFx/Y F Y F Y S θ F θ * Y F Y S = S F S CFx/ S CFx/Y F Y F Y S srp v p(v oq /pm)p p. (18) (19) Fig. 4. Surface coverage for SiO 2 vs radical to ion flux ratio. Surfacecoverage with polymer(θ p, thin curves), with F atom (θ p, dashed curves) and with radical on SiO 2 surface. DY = S CFx R c θ * Y S ( 1 ) Y F θ F o44 o k (20) p p e v r l l s p šp, e v l r p lp pp, n p Table 2l ˆ l. 3. y SiO 2p pp v p tn r p t s p d, pm d pm l v l p m p. l l p prp p o t s pm d pl p ˆ l. Fig. 3p pr l p l šp p ˆ. pml v p rp v rs p 100 ev r m. v l SiO 2 l q pp qp e F d l, Fm špp r v m. p p v v e q p. Fig. 4 pr m 100 evp pml vl eˆl Fig. 3. Surface coverages vs F atom to ion flux ratio. Surface coverage with polymer(θ p, thin curves), with F atom (θ F, dashed curves) and with radical on SiO 2 surface. špp ˆ. d v l q špp v, e l vrrp l p špp p mlp sq m. po d v l l špp v, p dl q v v špp p. p p l l re p t s d l p p rp m p k p. Fig. 5 pr l m pml v l q p špp ˆ. Fig. 5(a)l p pml vl SiO 2 p q mr, p pml vl v l q špp v m. 100 }l pml v v q špp 1l or e pp pl v k. e p vrrp l p p p špp pml v v l v l p m. p m p sl pml v F/C pl e pp e q p, l l re p m pml v l m p q ˆ ppp k p. Fig. 6p l l re p Fm dp l ˆ p p. Fig. 6(a) / pl špp m p, s F/C pl e p., p F dm p dl špp p Fm p p pl p r kvp rp m p. Fig. 6(b)l q špp / pl p ˆ. p po F d rp p qp e v p. p p špp pn l e pl Fig. 7l ˆ l. 100 evp pr pml vl Fm dp l e pp p F dm rp p dl p e pp ˆ l. p rp špl e rp p r rp r l o q p p r ˆp. l l rk p ˆ p pv o r rp n

6 e p e p 525 Fig. 5. Surface coverage as functions of and ion energy. (a) polymer surface coverage, (b) surface coverage. Fig. 6. Surface coverage as functions of and (Ion erergy is 100 ev). (a) CFx surface coverage, (b) polymer surface coverage. p v, lr d mll v e pl Oehrlein e [17] m. p m Fig. 8 l ˆ } mll e r q p m. 70 ev p p pml vl q v p m, pml v 0l ovl q p šp v m. Fig. 8p ev v lr m lp ˆ l l rk q v ƒ v p ˆ p k p. kn, 125 ev p p d ml e m r q p ppp k p. 4. l l s p r p, er rp m o FC v l SiO 2 e l p p m. p o s e l llv p e p v SiO 2m q p l p ƒ v p rk m. p l n p p e q r r p Š lrp, Fig. 7. SiO 2 etching yield as functions of and (Ion erergy is 100 ev). n p p e llr. l l llv ps p šp p v r Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

7 526 p l Fig. 8. SiO 2 etching yield as a function of ion energy ( =10 and =10). Experimental data ( ) are from Oehrlein et al. [16]. p l rp p mp, srp rk p e m l ˆ p pv m. p ~ p o n p p e rl l r p p np p. p p 2006 l qvo ll p l vo ld. o44 o k k DY : deposition yield (deposited atom/ion) EY : etch yield (Si atoms/ion) : F atom to ion flux ratio : radical to ion flux ratio K : recombination coefficient of with F S F : sticking coefficient of F atoms on clean SiO 2 S CFx : sticking coefficient of radicals on clean SiO 2 S F/[CFx] : sticking coefficient of F radicals on chemisorbed S CFx/[CFx] : sticking coefficient of radicals on chemisorbed S CFx/ : sticking coefficient of radicals on polymer S F/ : sticking coefficient of F radicals on polymer Y MF : ion-enhanced chemical etching yield : ion-activated polymer decomposition yield Y M : ion-enhanced polymer formation yield Y ME : ion-enhanced etch yield by : physical sputtering yield Y F : ion-enhanced etch yield of polymer with F atoms Y C : consumption yield by ion bombardment : ion-activated polymer site creation yield Y S m m Γ F : fluorine radical flux : radical flux θ F θ F/ * / : ion flux : fluorine surface coverage : surface coverage : polymer surface coverage : fluorine surface coverage on polymer : activated polymer surface coverage on polymer y 1. Sze, S. M., VLSI Technology, 2 nd ed., McGraw-Hill, New York, NY(1983). 2. Schaepkens, M. and Oehrlein, G. S., A Review of SiO 2 Etching Studies in Inductively Coupled Fluorocarbon lasmas, J. Electrochem. Soc., 148(3), C211-C221(2001). 3. Standaert, T. E. F. M., Hedlund, C., Joseph, E. A., Oehrlein, G. S. and Dalton, T. J., Role of Fluorocarbon Film Formation in the Etching of Silicon, Silicon Dioxide, Silicon Nitride, and Amorphous Hydrogenated Silicon Carbide, J. Vac. Sci. Technol. A, 22(1), 53-60(2004). 4. Im, Y. H., Hahn, Y. B. and eaton, S. J., A Leval Set Approach to Simulation of Etch rofile Evolution in a High Density lasma Etching System, J. Vac. Sci. Technol. B, 19(3), (2001). 5. Cho, B. O., Hwang, S. W., Kim, I. W. and Moon, S. H., Expression of the Si Etch Rate in a CF 4 lasma with Four Internal rocess Variables, J. Electrochem. Soc., 146(1), (1999). 6. Butterbaugh, J. W., Gray, D. C. and Sawin, H. H., lasma-surface Interactions in Fluorocarbon Etching of Silicon Dioxide, J. Vac. Sci. Technol. B, 9(3), (1991). 7. Chang, J.. and Sawin, H. H., Molecular-beam Study of the lasma-surface Kinetics of Silicon Dioxide and hotoresist Etching with Chlorine, J. Vac.Sci. Technol. B, 19(4), (2001). 8. Kimura, Y., Coburn, J. W. and Graves, D. B., Vacuum Beam Studies of Fluorocarbon Radicals and Argon Ions on Si and SiO 2 Surfaces, J. Vac. Sci. Technol. A, 22(6), (2004). 9. Chae, H., Vitale, S. V. and Sawin, H.GH., Silicon Dioxide Etching Yield Measurements with Inductively Coupled Fluorocarbon lasmas, J. Vac. Sci. Technol. A, 21(2), (2003). 10. Humbird, D., Graves, D. B., Hua, X. and Oehrlein, G. S., Molecular Dynamics Simulations of Ar -induced Transport of Fluorine Through Fluorocarbon Films, Appl. hys. Lett., 84(7), (2004). 11. Gogolides, E., Vauvert,., Kokkoris, G., Turban, G. and Boudouvis, A. G., Etching of SiO 2 and Si in Fluorocarbon lasmas: A Detailed Surface Model Accounting for Etching and Deposition, J. Appl. hys., 88(10), (2000). 12. Abraham-Shrauner, B., Simulaneous Multilayer lasma Etching and Deposition of Fluorocarbon Layers on Silicon, J. Appl. hys., 94(8), (2003). 13. Gray, D. C., Tepermeister, I. and Sawin, H. H., henomenological Modeling of Ion-enhanced Surface Kinetics in Fluorinebased lasma Etching, J. Vac. Sci. Technol. B, 11(4), (1993). 14. Gray, D. C., Sawin, H. H. and Butterbaugh, J. W., Quantification of Surface Film Formation Effects in Fluorocarbon lasma Etching of olysilicon, J. Vac. Sci. Technol. A, 9(3), (1991). 15. Butterbaugh, J.GW., Gray, D.GC. and Sawin, H.GH., lasma-surface Interactions in Fluorocarbon Etching of Silicon Dioxide, J.

8 e p e p 527 Vac. Sci. Technol. B, 9(3), (1991). 16. Jin, W. and Sawin, H. H., rofile Evolution Simulation of Oxide Fencing during Via-First Dual Damascene Etching rocess, J. Electrochem. Soc., 150(11), G711-G717(2003). 17. Oehrlein, G. S., Zhang, Y., Vender, D. and Haverlag, M., Fluorocarbon High-density lasmas. I. Fluorocarbon Film Deposition and Etching Using CF 4 and CHF 3, J. Vac. Sci. Technol. A, 12(2), (1994). 18. Schaepkens, M., Oehrlein, G. S. and Cook, J. M., Effect of Radio Frequency Bias ower on SiO 2 Feature Etching in Inductively Coupled Fluorocarbon lasmas, J. Vac. Sci. Technol. B, 18(2), (2000). Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006

The Study of Structure Design for Dividing Wall Distillation Column

The Study of Structure Design for Dividing Wall Distillation Column Korean Chem. Eng. Res., Vol. 45, No. 1, February, 2007, pp. 39-45 r m o Š i m Çm k m d p 712-749 e 214-1 (2006 11o 18p r, 2006 12o 14p }ˆ) The Study of Structure Design for Dividing Wall Distillation Column

More information

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp. 483-488 Remote r i l m zi PMMAm Š z Çmk o 200-701 o }e q 2 192-1 (2006 1o 5p r, 2006 6o 16p }ˆ) Influence of Loading Position and Reaction Gas

More information

Missing Value Estimation and Sensor Fault Identification using Multivariate Statistical Analysis

Missing Value Estimation and Sensor Fault Identification using Multivariate Statistical Analysis Korean Chem. Eng. Res., Vol. 45, No. 1, February, 2007, pp. 87-92 l mk ~ m m i~ m m my Çmm 794-784 e q 31 (2006 10o 31p r, 2007 1o 9p }ˆ) Missing Value Estimation and Sensor Fault Identification using

More information

Temperature Control in Autothermal Reforming Reactor

Temperature Control in Autothermal Reforming Reactor Korean Chem. Eng. Res., Vol. 45, No. 1, February, 2007, pp. 12-16 j mi s m i m j oh qç s Çms Ç 702-701 e 1370 (2006 9o 26p r, 2006 12o 13p }ˆ) Temperature Control in Autothermal Reforming Reactor Song

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite

Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite Carbon Science Vol. 7, No. 3 September 2006 pp. 196-200 Specimen Geometry Effects on Oxidation Behavior of Nuclear Graphite Kwang-Youn Cho, Kyung-Ja Kim, Yun-Soo Lim 1, Yun-Joong Chung 1 and Se-Hwan Chi

More information

경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제. Purification of p-dioxanone from p-dioxanone and Diethylene Glycol Mixture by a Layer Melt Crystallization

경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제. Purification of p-dioxanone from p-dioxanone and Diethylene Glycol Mixture by a Layer Melt Crystallization Korean Chem. Eng. Res., Vol. 43, No. 5, October, 2005, pp. 595-602 경막형용융결정화에의한파라디옥사논과디에틸렌글리콜혼합물로부터파라디옥사논의정제 m zk s * l o r l 305-600 re o q 100 * 305-764 re o 220 (2005 6o 15p r, 2005 9o 6p }ˆ) Purification

More information

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4 Korean Chem. Eng. Res., Vol. 45, No. 2, April, 2007, pp. 203-207 -jo- g g oi m lmœ Ž m mm o m Ç m (t)e l 730-724 e p 283 (2006 10o 18p r, 2006 12o 7p }ˆ) Particle Removal on Silicon Wafer Surface by Ozone-HF-NH

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

수소가스화기에서석탄의메탄화반응특성. Characteristics of Coal Methanation in a Hydrogasifier

수소가스화기에서석탄의메탄화반응특성. Characteristics of Coal Methanation in a Hydrogasifier Korean Chem. Eng. Res., Vol. 44, No. 6, December, 2006, pp. 631-635 수소가스화기에서석탄의메탄화반응특성 m Çl qç iyç m Çmm l v l o ˆr l 305-343 r le o q 71-2 (2006 2o 14p r, 2006 8o 8p }ˆ) Characteristics of Coal Methanation

More information

Temperature Effect on the Retention Behavior of Sugars and Organic Acids on poly (4-vinylpyridine) Resin

Temperature Effect on the Retention Behavior of Sugars and Organic Acids on poly (4-vinylpyridine) Resin Korean Chem. Eng. Res., Vol. 44, No. 1, February, 2006, pp. 35-39 PVP 분리수지에서온도에따른당과유기산의체류특성변화 smçmp *Ç l p, *r l 402-701 p}e n 253 (2005 11o 14p r, 2005 12o 11p }ˆ) Temperature Effect on the Retention

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Effect of Precipitation on Operation Range of the CO 2

Effect of Precipitation on Operation Range of the CO 2 Korean Chem. Eng. Res., Vol. 45, No. 3, June, 007, pp. 58-63 g g o mk m o oi n m oi iii i lo Ç Ç k Ç p *Ç p * o 305-701 re o 373-1 * l v l o rl 305-343 re o q 71- (006 1o 18p r, 007 1o 11p }ˆ) Effect of

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

History and Status of the Chum Salmon Enhancement Program in Korea

History and Status of the Chum Salmon Enhancement Program in Korea The Sea Journal of the Korean Society of Oceanography Vol. 12, No. 2, pp. 73 80, May 2007 [Note] {{ n{ {n r~ * r d p n d n History and Status of the Chum Salmon Enhancement Program in Korea CHAE SUNG LEE*,

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

/CO/CO 2. Eui-Sub Ahn, Seong-Cheol Jang, Do-Young Choi, Sung-Hyun Kim* and Dae-Ki Choi

/CO/CO 2. Eui-Sub Ahn, Seong-Cheol Jang, Do-Young Choi, Sung-Hyun Kim* and Dae-Ki Choi Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp. 460-467 Zeolite 5Ai m /CO m y gm Çm zç iç *Ç l o rl 136-791 ne o 39-1 * 136-709 ne kk 5 1 (2006 2o 23p r, 2006 7o 20p }ˆ) Pure Gas Adsorption

More information

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma Vol. 117 (2010) ACTA PHYSICA POLONICA A No. 3 Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma R. Knizikevičius Department of Physics, Kaunas University of Technology, 73 K. Donelaičio St., LT-44029

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Seed Production from Pond Cultured Cherry Salmon, e ll, Oncorhynchus masou (Brevoort) ll ll

Seed Production from Pond Cultured Cherry Salmon, e ll, Oncorhynchus masou (Brevoort) ll ll J. of Aquaculture Vol. 20(1) : 14-18, 2007 µ Journal of Aquaculture Korean Aquaculture Society ~nr {o n~ t{{, Oncorhynchus masou (Brevoort) nn *, f d p ndn Seed Production from Pond Cultured Cherry Salmon,

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas M. Haverlag, a) W. W. Stoffels, E. Stoffels, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Reactive Etching and Surface Damage

Reactive Etching and Surface Damage PARTI Reactive Etching and Surface Damage ATOMIC AND MOLECULAR BEAM STUDIES OF ETCHING AND RELATED SURFACE CHEMISTRIES J.W. Coburn IBM Research Division, K33/801, 650 Harry Road, San Jose, CA 95120-6099

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Learning High-Dimensional Data with Artificial Neural Networks. Université catholique de Louvain (Belgium) Machine Learning Group

Learning High-Dimensional Data with Artificial Neural Networks. Université catholique de Louvain (Belgium) Machine Learning Group Lg Hgh-l h Al Nl Nk Ué hlq Lv (Blgm) h Lg Gp hp://..l..b/mlg/ Ol Hgh-l (H) L & l l l C ly, mpy p phm Spzg C El m l I N ml l m (l) vbl l pj ph: l, SV, Ol Hgh-l (H) L & l l l C ly, mpy p phm Spzg C El m

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model

Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model Korean J. Chem. Eng., 20(2), 392-398 (2003) Analysis of Particle Contamination in Plasma Reactor by 2-Sized Particle Growth Model Dong-Joo Kim, Pil Jo Lyoo* and Kyo-Seon Kim Department of Chemical Engineering,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Modeling for the Recovery of Organic Acid by Bipolar Membrane Electrodialysis

Modeling for the Recovery of Organic Acid by Bipolar Membrane Electrodialysis Korean Chem. Eng. Res., Vol. 44, No. 5, October, 26, pp. 476-482 m n ˆ i m l i Çm z p edš 337-71 l s op ek 34 (26 3o 31p r, 26 6o 2p }ˆ) Modeling for the Recovery of Organic cid by ipolar Membrane Electrodialysis

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions Graves Lab Department of Chemical and Biomolecular Engineering University of California, Berkeley Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions N. Ning, and D. B. Graves Department of

More information

A L A BA M A L A W R E V IE W

A L A BA M A L A W R E V IE W A L A BA M A L A W R E V IE W Volume 52 Fall 2000 Number 1 B E F O R E D I S A B I L I T Y C I V I L R I G HT S : C I V I L W A R P E N S I O N S A N D TH E P O L I T I C S O F D I S A B I L I T Y I N

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS Plasma Surface Kinetics 67 PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS e _ Transport to Surface Adsorpt from Precursor Main Gas Flow Reg Radicals Desorpt

More information

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 A Working Electron Impact Cross Section Set for CHF 3 Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801 Abstract Trifluoromethane, CHF 3, is used for plasma etching

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Acidic Water Monolayer on Ruthenium(0001)

Acidic Water Monolayer on Ruthenium(0001) Acidic Water Monolayer on Ruthenium(0001) Youngsoon Kim, Eui-seong Moon, Sunghwan Shin, and Heon Kang Department of Chemistry, Seoul National University, 1 Gwanak-ro, Seoul 151-747, Republic of Korea.

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

The chemistry of a CCl 2 F 2 radio frequency discharge

The chemistry of a CCl 2 F 2 radio frequency discharge The chemistry of a CCl 2 F 2 radio frequency discharge W. W. Stoffels, E. Stoffels, M. Haverlag, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University of Technology, 5600 MB Eindhoven,

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans Low temperature plasmas not fully ionized Ionization degree 10-6 10-4 far away from thermodynamic equlilibrium T electron >>

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Whitney Grummon. She kick started a fire in my soul Teaching me a tool to cleanse my mind That ll last a life time. That s how I will remember

Whitney Grummon. She kick started a fire in my soul Teaching me a tool to cleanse my mind That ll last a life time. That s how I will remember W Gmm S kk f m T m m m T f m T I mmb N m p f p f f G L A f b k, b k v M k b p:, bb m, m f m, v. A b m, f mm mm f v b G p. S m m z pp pv pm f, k mk, f v M. I m, I m, fm k p x. S f 45 m m CMS, I p mf,. B

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films

X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Korean J. Chem. Eng., 28(4), 1133-1138 (2011) DOI: 10.1007/s11814-011-0036-2 INVITED REVIEW PAPER X-ray photoelectron spectroscopic characterization of molybdenum nitride thin films Jeong-Gil Choi Department

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

n

n p l p bl t n t t f Fl r d, D p rt nt f N t r l R r, D v n f nt r r R r, B r f l. n.24 80 T ll h, Fl. : Fl r d D p rt nt f N t r l R r, B r f l, 86. http://hdl.handle.net/2027/mdp.39015007497111 r t v n

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

P a g e 5 1 of R e p o r t P B 4 / 0 9

P a g e 5 1 of R e p o r t P B 4 / 0 9 P a g e 5 1 of R e p o r t P B 4 / 0 9 J A R T a l s o c o n c l u d e d t h a t a l t h o u g h t h e i n t e n t o f N e l s o n s r e h a b i l i t a t i o n p l a n i s t o e n h a n c e c o n n e

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Optimal Design of Generalized Process-storage Network Applicable To Polymer Processes

Optimal Design of Generalized Process-storage Network Applicable To Polymer Processes Korean Chem. Eng. Res., Vol. 45, No. 3, une, 007, pp. 49-57 m o n m m o-nmo o n m Çmm * 608-739 e n 100 * 100-715 ne t 3 6 (006 10o p r, 007 3o 9p }ˆ Optmal Desgn of Generalzed Process-storage Networ Applcable

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 31, NO. 4, AUGUST 2003 703 Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects Hye Sang Park, Sung Jin Kim,

More information

176 5 t h Fl oo r. 337 P o ly me r Ma te ri al s

176 5 t h Fl oo r. 337 P o ly me r Ma te ri al s A g la di ou s F. L. 462 E l ec tr on ic D ev el op me nt A i ng er A.W.S. 371 C. A. M. A l ex an de r 236 A d mi ni st ra ti on R. H. (M rs ) A n dr ew s P. V. 326 O p ti ca l Tr an sm is si on A p ps

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether

High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether T. E. F. M. Standaert, a) P. J. Matsuo, X. Li, and G.

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma Effects of cross field diffusion in a low pressure high density oxygen/silane plasma C. Charles Citation: Journal of Vacuum Science & Technology A 20, 1275 (2002); doi: 10.1116/1.1481042 View online: http://dx.doi.org/10.1116/1.1481042

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Linking the Operating Parameters of Chemical Vapor Deposition Reactors with Film Conformality and Surface Nano-Morphology

Linking the Operating Parameters of Chemical Vapor Deposition Reactors with Film Conformality and Surface Nano-Morphology Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 8132 8137, 2011 Linking the Operating Parameters

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Years. Marketing without a plan is like navigating a maze; the solution is unclear.

Years. Marketing without a plan is like navigating a maze; the solution is unclear. F Q 2018 E Mk l lk z; l l Mk El M C C 1995 O Y O S P R j lk q D C Dl Off P W H S P W Sl M Y Pl Cl El M Cl FIRST QUARTER 2018 E El M & D I C/O Jff P RGD S C D M Sl 57 G S Alx ON K0C 1A0 C Tl: 6134821159

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

CLUSTER SIZE DEPENDENCE OF SPUTTERING YIELD BY CLUSTER ION BEAM IRRADIATION

CLUSTER SIZE DEPENDENCE OF SPUTTERING YIELD BY CLUSTER ION BEAM IRRADIATION CLUSTER SIZE DEPENDENCE OF SPUTTERING YIELD BY CLUSTER ION BEAM IRRADIATION T. Seki 1,2), T. Murase 1), J. Matsuo 1) 1) Quantum Science and Engineering Center, Kyoto University 2) Collaborative Research

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information