High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether

Size: px
Start display at page:

Download "High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether"

Transcription

1 High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-n, and poly arylene ether T. E. F. M. Standaert, a) P. J. Matsuo, X. Li, and G. S. Oehrlein b) Department of Physics, State University of New York at Albany, Albany, New York T.-M. Lu and R. Gutmann Rensselaer Polytechnic Institute, Troy, New York C. T. Rosenmayer and J. W. Bartz W. L. Gore & Associates, Inc., Eau Claire, Wisconsin J. G. Langan c) and W. R. Entley Electronics Division, Air Products and Chemicals, Inc., Allentown, Pennsylvania Received 24 November 1999; accepted 18 December 2000 The pattern transfer of SiO 2 hard masks into polytetrafluoroethylene, parylene-n, and polyarylene ether PAE-2 has been characterized in an inductively coupled plasma source. Selected results obtained with blanket parylene-af4 films are included in this work. These dielectrics offer a relatively low dielectric constant k2 3 and are candidate materials for use as intra- and interlayer dielectrics for the next generations of high-speed electronic devices. Successful patterning conditions were identified for Ar/O 2 and N 2 /O 2 gas mixtures. It was found that the formation of straight sidewalls in Ar/O 2 discharges relies on the redeposition of oxygen-deficient etch products on the feature sidewall. Furthermore, the etch rates of parylene-n, parylene-f, and PAE-2 for blanket and patterned films could be captured by a semiempirical surface coverage model, which balances the adsorption rate of oxygen and the ion-induced desorption rate of oxygenated etch products American Vacuum Society. DOI: / I. INTRODUCTION Integration of low dielectric constant k materials in upcoming generations of integrated circuits IC s becomes indispensable, because interconnect delays will limit the overall performance of sub-0.25 m devices based on conventional dielectrics and metals only. Low-k dielectrics also reduce cross-talk noise between adjacent lines. Even though many low-k materials have been introduced over the last years, only a very few are used in commercial devices. Successful integration puts high demands on thermal conductivity and stability, gap fill, low outgassing, production costs, and compatibility with processing steps like etching, stripping, cleaning, and chemical mechanical polishing. Because of partial compatibility with conventional oxide technology, oxide-like materials were the first low-k dielectrics k3 4 to be implemented in commercial devices. Some of the etching and cleaning issues for fluorinated oxide, hydrogen silsesquioxane HSQ, and methyl silsesquioxane MSQ have been discussed in previous publications. 1,2 Organic materials have a lower dielectric constant (k2 3) and will enable the production of high-speed devices. The process flow of organic dielectrics, however, may differ significantly from the process flow developed for the integration of conventional metals and dielectrics. The challenge is thus to develop consistent process sequences for organic dielectrics that require minimum and low-cost modifications of the existing production lines. The aim of this article is to examine the patterning of organic thin films in oxygen-based high-density plasmas (O 2 /Ar and O 2 /N 2 ). The use of oxygen in nonoptimized processes can result in serious erosion and bowing of feature sidewalls. 3 8 However, the formation of straight sidewalls is feasible in two different process windows which will be discussed along with a deeper understanding of the etch mechanism for organic films. The studied organic dielectrics are polytetrafluoroethylene PTFE, parylene-n, and polyarylene ether PAE-2. Additionally, a few results will be shown on parylene-af4. The structure of each polymer is shown in Fig. 1. Parylene-N and parylene-af4 are both vapor-deposited films with dielectric constants of 2.7 and 2.3, respectively. Even though both polymers can be successfully integrated, integration in commercial devices is unlikely due to relatively high production costs. 9 PAE-2 and PTFE are deposited by spin-on and subsequent drying and curing techniques. 10,11 PAE-2 (k2.8) suffers from a relatively low glass transition temperature 270 C. Recently, Schumacher introduced VELOX as a variant on PAE-2. The chemical structures of VELOX and PAE-2 are very similar, although the glass transition tema Current address: IBM Microelectronics, 2070 Route 52, Mail Stop E40, Hopewell Junction, New York 12533; electronic mail: testanda@us.ibm.com b Author to whom correspondence should be addressed; current address: Department of Materials and Nuclear Engineering and Institute for Plasma Research, University of Maryland, College Park, Maryland ; electronic mail: oehrlein@glue.umd.edu c Current address: Air Products and Chemicals, Inc., Santa Clara, California J. Vac. Sci. Technol. A 19 2, MarÕApr Õ2001Õ19 2 Õ435Õ12Õ$ American Vacuum Society 435

2 436 Standaert et al.: High-density plasma patterning 436 FIG. 2. Patterning scheme for organic dielectrics. FIG. 1. Structure of parylene-n, parylene-af4, PAE-2 and PTFE. The density and the dielectric constant of the films are indicated by and k, respectively. perature of VELOX is much higher 400 C. A comparison between PAE-2 and VELOX showed that both polymers are very similar in terms of etch rates and profile control. 12 PTFE, trademarked as SPEEDFILM by W. L. Gore & Associates, has a dielectric constant of 2.0, which is extremely low for a nonporous material. II. EXPERIMENTAL SETUP AND PROCEDURES The transformer-coupled plasma TCP reactor used for this study has been described in detail elsewhere. 1,13 All experiments were carried out in discharges maintained at a source power of 600 W MHz. A constant gas flow of 40 sccm O 2 /Ar/N 2 mixtures was injected in the discharge region. The discharge is laterally confined by an alumina ring and vertically by the wafer and the quartz window. Due to the restriction in pumping speed a pressure differential builds up between the discharge and the area just outside the confinement. All experiments described here were conducted at a pressure of 4 mtorr, which was measured outside the confinement area. Even though unknown, it is expected that the pressure at the wafer surface runs higher than 4 mtorr. Samples with the polymer films were placed in the center of a 125 mm silicon wafer located 7 cm below the plasma generation region. The wafer was clamped on an electrostatic chuck and cooled at 10 C. The ion energy at the wafer can be controlled independently of the plasma generation by rf biasing. 13 The rf bias power on the wafer was varied between 0 and 100 W at a fixed frequency of 3.4 MHz. The self-bias voltage on the wafer was measured with a probe. A Balzers PPM422 plasma process monitor was installed next to the wafer surface and was utilized to obtain the composition of the ion flux. Furthermore, etch products of the blanket polymer films were monitored by spatially resolved mass spectrometry. The setup of this mass spectrometer is discussed by Li et al. 14 A brief description of this spectrometer will be given in Sect. III B. Etch rates of blanket samples were obtained using in situ He Ne ellipsometry. In the etching of these organic dielectrics only a marginal etch selectivity with respect to photoresist can be achieved. Hence, patterning requires an additional layer between the resist and the polymer. This layer is typically a spin-on glass or a nitride layer and is referred to as a hard mask. The patterning occurs in two steps and is schematically outlined in Fig. 2. First, the trilayer structure is processed in a CF 4 discharge 1000 W source power and 100 W bias power where the resist pattern is transferred into the hard mask. The low polymerization rate of a CF 4 discharge leaves a minimum amount of residual fluorocarbons on the wafer and reactor walls. The use of CF 4 leads to high etch rates of the hard mask material. Dilution of CF 4 in argon 1:1 ratio reduces the etch rate and allows for longer, more controllable, processing times on the order of s. The pattern in the hard mask is subsequently transferred into the polymer using an oxygen-based chemistry. The resist erodes quickly, whereas the hard mask has a high etch resistance in the discharge. For the patterning of parylene-n, a 100 nm nitride mask was applied. PTFE and PAE-2 were both spin coated with a spin-on glass film of 100 and 200 nm, respectively. Cleaving patterned polymer films for cross-sectional secondary electron microscopy SEM often requires special techniques such as focused ion beam FIB to prevent tearing of the film. In this work, patterned samples were frozen by submerging them in liquid nitrogen and were then cleaved using a regular graphite tip. J. Vac. Sci. Technol. A, Vol. 19, No. 2, MarÕApr 2001

3 437 Standaert et al.: High-density plasma patterning 437 FIG. 3. Characterization of the ion flux as a function of O 2 -flow percentage: ion current density ICD a, and ion composition of the ion flux b. Source power, pressure, and total gas flow (Ar/O 2 ) were fixed at 600 W, 4 mtorr, and 40 sccm, respectively. III. RESULTS A. Gas-phase characterization The ion flux to the wafer in high-density plasma systems is not affected by the rf bias power and a linear relationship between self-bias voltage and rf bias power is obtained. The ion current density can be calculated from the slope of this linear relationship. 13,15 The ion current density in Ar/O 2 plasmas is plotted as a function of the relative O 2 flow in Fig. 3a. The source power, pressure, and total gas flow of Ar/O 2 mixtures were fixed at 600 W, 4 mtorr, and 40 sccm, respectively. The ion current density drops significantly as the O 2 flow is increased. Ions were sampled by a Balzers PPM422 system. In the PPM system, ions pass a retarding electric field and subsequently a cylindrical mirror analyzer. The pass energy of the analyzer was fixed while the magnitude of the retarding electric field was varied. After the energy selection, ions were detected by a quadrupole mass spectrometer. Ion energy spectra for various O 2 flows up to 25% showed an almost constant plasma potential of 20 V with respect to ground. Figure 3b shows the integrated mass spectrometer intensities for O and O 2 divided by the total O,O 2, and Ar intensity. The contribution of O and O 2 to the ion flux increases linearly with O 2 flow. Threshold-ionization mass spectrometry was applied to obtain the qualitative behavior of the radical densities in the Ar/O 2 plasmas. Neutral species were sampled by the PPM422 system and subsequently bombarded by electrons with a controllable energy. For electron energies below the threshold for ionization from the ground state only excited species are ionized and detected in the mass spectrometer. It was found that both O* and O 2 density are proportional to the O 2 flow. This method, unfortunately, does not reveal absolute densities. In order to estimate the degree of dissociation other methods need to be applied. A rough estimate can be obtained by monitoring the pressure increase drop when the plasma is turned on off while the pumping speed is fixed. Using this method we noticed only a small pressure change 0.1 mtorr, suggesting a degree of dissociation below 3%. A different method compares the intensities of the parent ion peaks (O 2 and Ar ) in the case of plasma on and plasma off using the line-of-sight mass spectrometer of the PPM422 system. This method leads to a similar result as was obtained by monitoring the pressure change. A similar degree of dissociation in inductively coupled Ar/O 2 plasmas has also been measured by Wang, Van Brunt, and Olthoff 16 using mass spectrometry. A low degree of dissociation in these discharges may be unexpected. Dissociation of the oxygen molecule in the ground state requires only 5 ev and modeling of inductively coupled Ar/O 2 discharges suggests a very high degree of dissociation close to 100%. 17 Additionally, Fig. 3b shows that O can form up to 10% of the ion flux and suggests a reasonable degree of dissociation. Dissociative ionization of molecular oxygen requires almost 19 ev and is less likely to occur than nondissociative ionization of molecular oxygen which requires only 12 ev. Hence, O most likely originates from ionization of oxygen atoms. Finally, argon actinometry using the oxygen emission line at 777 nm showed an almost linear increase in the ground state oxygen density when the source power was increased from 600 to 1400 W. This measurement suggests that the degree of dissociation at 600 W is at least below 50%. Note that the contribution of dissociative excitation of oxygen can be neglected in inductively coupled discharges as it requires a high electron energy 15 ev Ref. 18 relative to direct excitation 11 ev Ref At this point it is not clear why the various estimates for the degree of dissociation are so different. One possibility may be that associative reactions of oxygen, including surface recombination, in inductively coupled discharges have been underestimated. Absolute density measurements need to be performed to measure the degree of dissociation directly. B. Etch rates and etch products of blanket films The etch rates of parylene-n, parylene-af4, PAE-2, and PTFE as a function of rf bias power are plotted in Fig. 4 for various Ar/O 2 flow ratios. It is important to note that the PTFE etch rates are plotted on a different scale. All films show a relatively low etch rate when no O 2 is added to the JVST A - Vacuum, Surfaces, and Films

4 438 Standaert et al.: High-density plasma patterning 438 FIG. 5. Setup of the linear motion mass spectrometer. FIG. 4. Blanket etch rates of parylene-n Pa-N, parylene-af4 Pa-AF4, PAE-2, and PTFE as function of the self bias voltage. The etch rates were measured for various O 2 percentages in Ar/O 2 plasmas. Ar discharge. In this case, the etch rate or, better, the physical sputter rate, may be enhanced by residual water and oxygen trapped in the chamber and by small amounts of oxygen released at the quartz-coupling window. 20 The etch rates exhibit a maximum around W corresponding to an average ion energy of approximately ev. Above this energy, a high etch rate was observed which gradually slowed down to the constant values presented in Fig. 4. At these higher energies ions may induce cross linking of polymer chains which results in a more etch resistant overlayer. The presence of this overlayer can be seen by switching from pure Ar to a more reactive feed gas chemistry, for example, Ar/O 2. Initially, a slow etch rate is observed which gradually increases to the value that is measured without the preexposure to a pure Ar plasma. Unfortunately, the thickness of the overlayer cannot be determined by this experiment, since etching of the polymer may occur when this overlayer becomes thin enough. The addition of 6% O 2 to the Ar discharge significantly enhances the polymer etch rates, which sublinearly increase as more O 2 is admixed. The etch rate as function of the rf bias power exhibits also a sublinear relationship in the case of parylene-n, parylene-af4, and PAE-2. In contrast, PTFE etches 2 3 times faster and is linearly dependent on the rf bias power. In order to detect etch products in Ar/O 2 plasmas, the sampling system of the spatially resolved mass spectrometer was positioned 6 mm above the polymer sample 2.5 cm2.5 cm in size, see Fig. 5. The mean-free path of neutral species at 4 mtorr is on the order of 1 2 cm and is larger than the distance between the orifice and sample. As a result, a significant portion of the species detected in the mass spectrometer were either reflected or created at the sample surface. The sheath thickness in high-density plasmas is on the order of mm and is not affected by the position of the linear-motion mass spectrometer. The electron-impact ionizer of the mass spectrometer was set at 30 ev. Figure 6 shows the spectrometer output during the etching of a PAE-2 film. In the first step I PAE-2 is etched in a pure Ar discharge at 0 W rf bias power. The initial high levels of CO can be attributed to oxygen and water that adsorbs at the reactor walls during loading of the sample. In the second step II the bias power is increased up to 50 W, but no significant change in the mass spectrometer signals is observed. Finally, O 2 is injected region III which yields a significant increase in etch rate. CO, CO 2, and H 2 O the latter ones are not shown in Fig. 6 were the major etch products detected by the linear-motion mass spectrometer. In the case of parylene- FIG. 6. Ar, O 2, and CO detected by the linear motion mass spectrometer during the etch back of a PAE-2 film. I 1:0 Ar:O 2, 0 W bias; II 1:0 Ar:O 2, 50 W bias; III 3:1 Ar:O 2, 100 W bias; and IV etching of SiO 2, 3:1 Ar:O 2, 100 W bias. J. Vac. Sci. Technol. A, Vol. 19, No. 2, MarÕApr 2001

5 439 Standaert et al.: High-density plasma patterning 439 FIG. 7.O 2 and C 2 H 2 detected by the linear motion mass spectrometer during the etch back of a PAE-2 film. I 1:0 Ar:O 2, 0 W bias; II 1:0 Ar:O 2,50 W bias; III 3:1 Ar:O 2, 0 W bias; IV 3:1 Ar:O 2, 50 W bias; and V etching of SiO 2, 3:1 Ar:O 2, 50 W bias. AF4 and PTFE, fluorinated etch products, such as HF and COF 2, were also detected. At the end of region III the underlying SiO 2 is reached. This is followed by a drop in CO intensity and an increase in O 2 intensity in region IV, while the measured Ar signal remains at a constant level. Since a significant portion of the O 2 detected in the mass spectrometer reflects from the sample surface, it can be concluded that oxygen is an important etchant. It cannot be concluded that molecular oxygen is an important etchant as the recombination rate of atomic oxygen may be high in the sampling tube of the spatially resolved mass spectrometer. Only if the degree of dissociation of the Ar/O 2 discharge is low, then Fig. 6 shows that molecular oxygen is consumed at the polymer interface. This is consistent with other studies The role of atomic oxygen could not be established with spatially resolved mass spectrometry due to the low detection levels and the possible recombination of atomic oxygen in the sampling tube of the mass spectrometer. Cook and Benson 26 and Selwyn 27 have established that atomic oxygen is an important etchant. Tepermeister and Sawin 28 observed in a study on polyimide etching in Ar/O 2 plasmas that the formation of acetylene (C 2 H 2 ) exhibits the strongest dependence on the ion energy. Hence, formation of acetylene could be an important reaction channel for the abstraction of aromatic groups from parylene-n, parylene-af4, and PAE-2. To verify if the etch rate of these polymers is indeed governed by the formation of acetylene, a PAE-2 sample was etched back in four steps. The O 2 and C 2 H 2 levels detected by the linear motion mass spectrometer are shown in Fig. 7. The electron-impact ionizer of the mass spectrometer was set at 16 ev. In the first step region I PAE-2 is etched in a pure Ar discharge at 0 W rf bias power. In line with the observations made by Tepermeister and Sawin, the C 2 H 2 level increases significantly as the rf bias power is increased to 50 W in the second step region II and is accompanied with an increase in etch rate, as was shown in Fig. 4. The formation of acetylene could thus be an important reaction channel in a pure Ar discharge. In the third step region III O 2 is admixed with Ar 3:1 Ar:O 2 ) and the rf bias power is reduced down to 0 W and no significant amount of acetylene is detected. As the bias power is increased up to 50 W region IV the C 2 H 2 increases, indicating that the C 2 H 2 formation is indeed strongly dependent on the ion energy. However, the C 2 H 2 level does not reflect the etch rates observed in Fig. 4. It is, therefore, unlikely that the formation of acetylene is a dominant reaction channel in Ar/O 2 discharges. Instead, carbon and hydrogen are primarily abstracted from the polymer through the formation of CO, CO 2, and H 2 O. The last region V in Fig. 7 shows the acetylene and O 2 level after the PAE-2 film is etched back to the underlying SiO 2 film. The increase in O 2 level corresponds to a higher reflection probability of atomic or molecular oxygen from an oxide surface than from a reactive polymer surface. C. Surface analysis The surface of PAE-2 films after a partial Ar/O 2 etch was characterized by x-ray photoelectron spectroscopy XPS. The etching for these experiments was performed in a different TCP reactor, but the processing conditions were almost identical as for the experiments described above. Partially etched PAE-2 films were transferred under ultra-high vacuum conditions to a Vacuum Generators ESCA Mk II analysis chamber. Photoemission spectra at a grazing emission angle of 22 were acquired using a nonmonochromatized Mg K source ev. The resolution is in this case limited by the linewidth of the Mg K source and is approximately 1 ev. Figure 8 shows the C1s and the O1s photoemission spectra for PAE-2 films unprocessed and partially etched back at 9, 50, and 100 V self-bias voltage and a 3:1 Ar/O 2 flow ratio. The spectra are corrected for charging by positioning the C1s emission from the aromatic groups at ev. 29 It is interesting to note the shake up around 292 ev in the C1s spectrum of the unprocessed PAE-2 film. This shake up is typical for polymers with a high degree of aromaticity and arises from an inelastic loss process that excites the ground-state ring orbitals of the aromatic groups in the polymer. 29 The shake-up feature is significantly reduced after processing in Ar/O 2 and indicates that the polymer surface is severely modified by the plasma exposure. More-detailed information can be obtained from the O1s spectra. The photoemission for the unprocessed PAE-2 film is centered around ev, corresponding to C O C bonds where the carbon atoms belong to an aromatic group. 29 After the PAE-2 film is partially etched back at a floating potential of 9 Vwith respect to ground, a significant emission at higher binding energies is observed. This can be attributed to the formation of a surface layer that is heavily oxidized and is rich in carbon-oxygen bonds where the carbon atom is bonded to two or three oxygen atoms. The peak positions for these bonds can typically be found in a range up to approximately 534 ev. 29 In this case, however, an additional, unknown contribution at ev is JVST A - Vacuum, Surfaces, and Films

6 440 Standaert et al.: High-density plasma patterning 440 FIG. 9. Two examples of parylene-n etched in an Ar:O 2 discharge 1:1 maintained at 4 mtorr, and 600 W source power. The rf bias power was 0 W for a and 100 W for b. The plasma exposure was fixed at 200 s in both cases. FIG. 8. Carbon 1s a and oxygen 1s b photoemission spectra for PAE-2 after processing in Ar/O 2 as a function of self-bias voltage. The collection angle is 22 with respect to the sample surface. clearly present. It could be speculated that it originates from hydroxyl groups which are bonded to the same carbon atom, i.e., COH 2 and COH 3. As the bias power is increased, the O1s emission shifts towards lower binding energies. In addition, the integrated O1s intensity decreases and is accompanied by an increase in the integrated C1s intensity. The lower binding energies correspond to bonds such as C OH ev, C O C ev and CO ev, where the carbon atoms are aliphatic. 29 Hence, it can be concluded that as the ion energy increases a less heavily oxidized surface is obtained where most carbon atoms are bonded to at most one oxygen atom. D. Undercutting and erosion of hard mask It is well known in the literature that anisotropic etching of polymers in oxygen-based plasmas is hard to realize. 3 8 An example is shown in Fig. 9a, where parylene-n was patterned using an Ar/O 2 discharge 1:1 without applying a rf bias to the substrate. The hard mask is seriously undercut and sidewall bowing can be observed. It is interesting to note that parylene-n exhibits a high lateral erosion rate directly below the hard mask, even though this position is almost completely shadowed from ions and neutrals emerging from the gas phase. Hence, atomic and molecular oxygen reflecting from the feature bottom are likely to be involved in the lateral erosion process. Pons, Pelletier, and Joubert 5 suggested that in the absence of ion impact the desorption of oxidized products is induced by the absorption of ultraviolet light. The sidewall erosion can be minimized by increasing the rf bias power as is demonstrated in Fig. 9b. Since the plasma exposure time in Fig. 9a and 9b was fixed at 200 s, it can be concluded that the lateral erosion rate of parylene-n depends on the ion energy and is consistent with the work of Heidenreich et al. 3 and Joubert et al. 4 The spatially resolved mass spectrometry data in Fig. 7 show that less oxygen reflects from the feature bottom at higher ion energies. This will lower the flux of oxygen to the sidewalls and reduce the lateral erosion rate during the polymer etch. However, the polymer etch in Fig. 9b is completed in approximately 40 s. After this, the oxygen flux to the sidewall increases and there must be a second mechanism by which the sidewall is protected from the oxygen flux during the remaining 160 s overetch. We will argue in Sec. III E that in an Ar/O 2 discharge the sidewall can be passivated by the redeposition of oxygen-deficient etch products. At higher ion energies it is more likely that partially oxidized and, hence, involatile products, desorb from the feature bottom and redeposit on the sidewall. In Sec. III E we will also show that the redeposited material has a relatively high etch resistance. Involatile etch products can, unfortunately, not be detected by spatially resolved mass spectrometry. Hence, the exact composition and flux of these etch products could not be established. Two comments on the effect of ion energy should be made here. First of all, the profile control is not always improved at higher ion energies. An example is shown in Fig. 10 where PTFE was patterned in an Ar/O 2 discharge 3:1 using two different rf bias powers, 0 and 50 W. The etching time was fixed at 200 s. In this case, both rf bias powers yield a similar amount of undercutting. A possible explanation may be found in terms of the difference in stoichiometry: CH for parylene-n and CF 2 for PTFE. PTFE contains relatively less carbon and the etch products from the feature bottom may be more volatile than in the case of parylene-n. In Sec. III E it will be shown that the redeposition rate for PTFE can very high in oxygen-deficient discharges. It also should be noted that the ion energy cannot be increased too high. The sputter yield increases at higher ion energies and results in the tapering of the hard mask corners. This can result in the loss of the critical dimension, as is demonstrated in Fig. 10. Figure 11 shows the sputter yield of J. Vac. Sci. Technol. A, Vol. 19, No. 2, MarÕApr 2001

7 441 Standaert et al.: High-density plasma patterning 441 FIG. 10. Two examples of PTFE etched in an Ar:O 2 discharge 3:1 maintained at 4 mtorr, and 600 W source power. The rf bias power was 0 W for a and 50 W for b. The plasma exposure was fixed at 200 s in both cases. blanket SiO 2 films in Ar, N 2,O 2, and H 2 discharges as a function of self-bias voltage. Due to its relatively high atomic mass, Ar exhibits the highest sputter rate. Hence, in the process design for the patterning of polymers, one should carefully choose the Ar flow and ion energy in order to prevent excessive tapering of the hard mask. For the patterning of PTFE, the erosion of the hard mask may be enhanced by the fluorine from the PTFE as long as the ion energy is high enough. E. Methods of sidewall passivation Patterning of organic dielectrics in oxygen-based plasmas is favored for the relatively high etch rates and the good selectivity to hard mask and etch stop layers. Unfortunately, sidewall bowing and undercutting is often observed and FIG. 11. Sputter yield of SiO 2 as a function of self-bias voltage in Ar, N 2, O 2,andH 2 plasmas maintained at a source power of 600 W, a gas flow of 40 sccm, and a pressure of 4 mtorr. FIG. 12. Cross-sectional SEM photographs of PTFE patterned in a pure Ar discharge at 200 W for 80 s. means of sidewall passivation are necessary for successful pattern transfer. Namatsu, Ozaki, and Hirata 30 showed that adequate profile control can be obtained using hydrocarbon oxygen mixtures as an etching gas. Joubert et al. 4 proposed a different approach using SO 2 /O 2 mixtures. This section discusses two alternative mechanisms allowing for the formation of straight sidewalls. The first mechanism of sidewall passivation is observed for the patterning of PTFE. After the opening of the hard mask in an Ar/CF 4 discharge, the pattern was transferred into the 500-nm-thick PTFE film at 200 W rf bias power in a pure Ar-discharge. Figure 12 shows that in this case straight sidewalls are obtained. The photoresist pattern eroded only partially and needs to be removed. The resist was stripped in a pure O 2 plasma at a low source and bias power. Figure 13 shows that the resist is successfully removed but it also shows that veils are covering the sidewalls. A prolonged exposure to the O 2 plasma reduces the thickness of these veils and eventually erodes the protruding parts completely. The protruding parts are first removed in the smaller features, after which the PTFE behind the remaining part of the veil starts to erode. Via cleaning in a high-density plasma source using O 2 is, therefore, not successful for PTFE, since the veil removal is anisotropic and feature dependent. However, the fact that the veils have a relatively low erosion rate and the fact that the thickness of the veils increases as a function of JVST A - Vacuum, Surfaces, and Films

8 442 Standaert et al.: High-density plasma patterning 442 FIG. 13. Cross-sectional SEM of PTFE after patterning in a pure Ar discharge followed by an ashing step in a pure O 2 discharge. feature size, provide important information about the nature of the veils. It suggests that the veils are formed by redeposition of fluorine-deficient sputter products from the feature bottom. Assuming that the thickness is proportional to the volume etched in the feature and inversely proportional to the area of the sidewall, it can be argued that the thickness of veils scales with the feature width. This type of veil formation seems also to occur in Ar/O 2 discharges. Figure 14 shows an unusual case for a partially etched contact hole in PAE-2 using an Ar/O 2 discharge with 25% O 2 addition. The veil can be seen near the corners of the hard mask, since it is partially eroded. As a result, the PAE-2 has been slightly undercut. We, therefore, suggest that also in Ar/O 2 discharges redeposition of carbon-rich products occurs. However, the redeposition rate is too low to completely prevent sidewall bowing. FIG. 14. Partially etched contact hole in PAE-2 where the veil is exposed at the corners of the hard mask. FIG. 15. Trench etched in PAE-2 using N 2 /O 2 discharge 10:1 40 sccm total gas flow, 4 mtorr, 600 W source power, and 50 W rf bias power. Successful pattern transfer into PAE-2 is possible using N 2 /O 2 plasmas as is shown in Fig. 15. The N 2 /O 2 ratio was 10:1. In this case, no bowing is observed and straight sidewalls are obtained. The N 2 /O 2 flow ratio has also important implications for the bottom profile. Figure 16 shows trenches etched in N 2 /O 2 plasmas for various flow ratios while etching time and other parameters were fixed. A pure O 2 discharge yields the highest etch rate, but results in a significant amount of sidewall bowing and undercutting. The PAE-2 etch rate decreases as the N 2 /O 2 flow ratio is increased. The bowing and undercutting disappears for high N 2 /O 2 flow ratios. It is interesting to note that microtrenching appears as soon as the sidewalls are in line of sight of the ions. Microtrenching has been explained by differential charging. 31,32 This mechanism assumes that the areas near the mask material charge up negatively due to a relatively isotropic velocity distribution of the electrons, while the feature bottom is positively charged by ions which have a highly directional velocity distribution. Due to the charge buildup more ions are focused at the corners of the feature bottom. A second mechanism which can account for microtrenching is reflection of ions from slightly inclined sidewalls Bogart et al. 36 recently showed for Cl 2 -based etching of silicon that differential charging is not the primary cause of microtrenching by demonstrating that the formation of microtrenching is similar for both conductive and oxide masks. A similar conclusion can be drawn here. Even though differential charging should occur for the trenches shown in Figs. 16a and 16b, no microtrenching is observed. The microtrenching in Fig. 16c seems, therefore, more consistent with sidewall reflection than with differential charging. A study of the underlying mechanism of sidewall passivation in N 2 /O 2 discharges is currently underway and will be addressed in a future publication. J. Vac. Sci. Technol. A, Vol. 19, No. 2, MarÕApr 2001

9 443 Standaert et al.: High-density plasma patterning 443 FIG. 17. Etched depth in trenches and via holes of various widths in PAE-2 as a function of time in an Ar/O 2 3:1 discharge 40 sccm total gas flow, 4 mtorr, 600 W source power, and 50 W rf bias power. The solid lines show the model prediction for the smallest and largest features according to Eqs. 5 and 6. behavior is consistent with neutral shadowing, the respective roles of ions and oxygen neutrals in the etching process have to be clarified first. FIG. 16. Partially etched trenches in PAE-2 for various ratios of N 2 /O 2 : a 0:1, b 1:1, and c 10:1. 40 sccm total gas flow, 4 mtorr, 600 W source power, 50 W rf bias power, and 150 s etching time. F. Aspect-ratio-dependent etching The results from spatially resolved mass spectrometry in Sec. III B clearly show that oxygen neutrals play an important role in the polymer etching process. Consequently, it can be anticipated that feature etching differs from blanket etching due to neutral shadowing. Figure 16a shows a partially etched trench in PAE-2 using a pure O 2 discharge. In addition to mask undercutting and sidewall bowing, it can be seen that the feature bottom is nonuniformly etched. The curved bottom profile can be explained by the fact that the direct neutral flux from the gas phase is more shadowed in the corners than in the center of the feature bottom. The time dependence of the etched depth measured in the center of trenches and via holes in PAE-2 is shown in Fig. 17. The etching is performed in a 4 mtorr Ar/O 2 discharge 3:1 flow ratio at 600 W source power and 50 W rf bias power. The resists pattern erodes completely in the first 50 s. As time progresses, the PAE-2 etch rate slows down more significantly in the narrower features and via holes. To verify if this IV. MECHANISM OF ETCHING IN ARÕO 2 PLASMAS Oxygen-based etching of polymers in various types of reactors has been modeled by several researchers using Langmuir adsorption kinetics. 22,37,38 Motivated by their work, we were able to successfully model the etch rate dependence on ion flux, oxygen flow and feature geometry in Ar/O 2 plasmas. The organic dielectrics, especially PTFE, are known to have a high resistance to chemical attack. 39,40 A chemical reaction between the polymer surface and oxygen occurs only at elevated temperatures or in conjunction with ion, electron, or photon bombardment. The etch rates presented in this article were obtained at a relatively low substrate temperature of 10 C. It is assumed that the reaction between polymer and oxygen neutrals is predominantly initiated by ion impact and can be described by a surface coverage model. The model fails, therefore, to describe the etch rates of surfaces that are not in line of sight of the ion trajectories, for example, undercut sidewalls. The characterization of the gas phase in Secs. III A and III B is inconclusive about the absolute atomic oxygen density. In addition to atomic oxygen and ground-state molecular oxygen O 2 ( 3 g ), the absolute density of excited O 2 ( 1 g ) may play an important role in the etching process. The low-lying state 1 g is separated by only 1 ev from the ground state and is easily accessible through electron excitation. The transition from 1 g to the ground state is strongly JVST A - Vacuum, Surfaces, and Films

10 444 Standaert et al.: High-density plasma patterning 444 forbidden. Hence, the internal energy of O 2 ( 1 g ) can be used during a reaction at the polymer surface. At this point, it is assumed that the flux of the various oxygen neutral species is linear in the O 2 flow. The total oxygen flux will be denoted as 2 O2, where O2 is the flux of molecular oxygen to the surface when no dissociation or excitation would take place in the gas phase. The energy from a single ion impact is dissipated on a time frame that is many orders of magnitude shorter than the characteristic time for the next impact of a gas particle. 24 Hence, the interaction of ions and oxygen at the polymer surface has to occur in at least two steps. Gokan and Esho 22 suggested that in the first step free-radical sites are created via hydrogen abstraction by energetic ions. In the second step oxygen reacts on the radical sites to form volatile species, such as CO. Vanderlinde and Ruoff 37 and Joubert, Pelletier, and Arnal 38 suggested a different reaction pathway where oxygen first adsorbs at the polymer surface. The reaction between adsorbed oxygen and the polymer surface is then initiated by the impact of energetic ions. The model presented here is based on this two-step reaction pathway, since it predicts that the surface oxidation is reduced as the ion energy is increased. The reaction pathway suggested by Gokan predicts the opposite and is in disagreement with the XPS results presented in Sec. III C. Other, more-complicated reaction pathways can be considered. However, based on the simple two-step reaction, where oxygen first adsorbs and then desorbs in an etch product upon ion impact, it is possible to explain the observed etch rate behavior of both blanket and patterned films. Given the oxygen flux 2 O2 and total ion flux i, the surface coverage of sites where oxygen is adsorbed can be calculated by balancing the adsorption and desorption rate of oxygen: d dt 2 O 2 S1 i Y i 0, 1 where is the highest attainable surface density of adsorbed oxygen. S is an effective sticking probability for all the various oxygen neutral species at unoccupied sites. Y i is the reaction probability between an adsorbed oxygen and the polymer during an ion impact. Since the energy of a single ion is dissipated by several surface atoms, reactions can be initiated at sites that are not directly at the center of impact. The area affected by ion impact and, consequently, Y i, are dependent on the ion energy. Here, it is assumed that Y i is a linear function of the ion energy E i : Y i E i, 2 E 0 where E 0 is a constant. The surface coverage is then given by 1 ie i 2S O2 E Equation 3 predicts that the surface coverage decreases as the ion energy is increased, which is consistent with Sec. III C. At this point, it is convenient to define the etch yield Y L as the amount of carbon atoms removed from the polymer surface per single ion impact event. The yield Y L according to Eq. 1 is then given by 2S O 2 i Y L 12S E 0 E i O 2 i, where is a dimensionless constant accounting for the number of carbon atoms per site and for the number of oxygen atoms required to remove a single carbon atom. The composition of the ion flux has been neglected up to this point. However, it is very likely that the impact of oxygen ions (O and O 2 ) immediately results in the formation of volatile products and does not require the assistance of adsorbed oxygen neutrals. Baggerman and co-workers 24 showed that the etching reactions occurring at the surface are exothermic. The reaction with oxygen ions should, therefore, already occur at relatively low ion energies. It also should exhibit a weak dependence on ion energy as the reaction is limited by the number of oxygen atoms that the ion carries. It is assumed that the rate constant for this reaction is independent of the ion energy for the experimental conditions used in this work. Including this reaction, the total etch yield Y T is now given by 2S Y T 1 O i 2 O 2 i O 2 i 12S E 0 E i O 2 i, 5 where O and O2 are the fluxes of O and O 2 ions, respectively. To verify this semiempirical model, the blanket etch rates in Fig. 4 have been reduced to yields in Fig. 18. The average ion energy was calculated from the self-bias voltage and the plasma potential. The total ion flux i was calculated from the ion current density in Fig. 3a and is listed in Table I. The oxygen ion fluxes O and O2 are obtained from Fig. 3b. The oxygen flux O2 was calculated from the partial pressure assuming a gas temperature of 500 K and a wafer area pressure of 4 mtorr. Equation 5 was then fitted to the data in Fig. 18 for each organic dielectric by varying the parameters, E 0, and S using a least-squares method. The best fits were obtained where the sticking coefficient was on the order of 1. This suspiciously high value may be explained in part by the semiempirical nature of the model. Additionally, the sticking coefficient may actually be lower since the wafer area pressure may run much higher than 4 mtorr as was mentioned in Sec. II. The fitted values for and E 0 vary around 0.6 and 70 ev, respectively. It was not possible to fit the yields for PTFE with Eq. 5. In contrast to the other dielectrics, the yield for PTFE depends almost linearly on the ion energy, and an increase in the O 2 -flow ratio from 6% to 25% has a relatively small 4 J. Vac. Sci. Technol. A, Vol. 19, No. 2, MarÕApr 2001

11 445 Standaert et al.: High-density plasma patterning 445 FIG. 18. Etch yields of parylene-n Pa-N, parylene-af4 Pa-AF4, PAE-2, and PTFE as a function of the average ion energy for various O 2 percentages in Ar/O 2 plasmas. The solid lines are fits according to Eq. 5, the dashed lines are just a guide for the eye. impact on the etch yield. Clearly, PTFE is etched through a different mechanism which is more dependent on the ion energy. A possible explanation for the different etching behavior may be found in the relatively large amount of fluorine that is incorporated in the PTFE. Comparison between parylene-n and parylene-af4 shows that the incorporation of small amounts of fluorine does not have a significant impact on the etch yield. Further validation of the suggested reaction kinetics is obtained by extending the blanket formalism to the feature etching in PAE-2, for which the experimental results were shown in Sec. III F, Fig. 17. Because of the relatively large feature sizes 1 m, it is assumed the ion flux at the center of the feature bottom is the same as for a blanket film and is not affected by charging or reflection from the sidewall. On the other hand, the neutral flux can be significantly reduced at the feature bottom due to shadowing. The direct flux of f oxygen O2 at the center of the feature bottom for trenches and via holes is given by 41,42 f O2 O2 4L 2 1/2 1, trench, w 2 O2 1 4L2 1 6, hole, w 2 where L and w are the feature depth and width, respectively, and O2 is the oxygen flux on a blanket surface. The etch rate in features can now be calculated by replacing O2 in f Eq. 5 with O2. Subsequently, this depth-dependent etch rate can be integrated over time to obtain the etched depth as function of time. The sacrificial resist layer on the oxide/ PAE-2 stack erodes within the first 50 s of the Ar/O 2 etch. Since it is hard to account for this rapid erosion, the time integration of Eqs. 5 and 6 was started after 50 s using the measured depth as the boundary condition. The sticking probability S was set at 1. The parameters and E 0 were set at the values as obtained from the blanket fits in Fig. 18. The time integration was performed for the smallest and the largest features. The solid lines in Fig. 17 show that the model predictions are in good agreement with the observed aspectratio-dependent etching for PAE-2. It should be noted that for this integration no additional fitting parameter was introduced. Furthermore, this result is independent of the used value for the sticking coefficient. Namely, similar results were obtained by using other combinations of S,, and E 0 that fit the data in Fig. 17 well. V. CONCLUSIONS The etching of PTFE, parylene-n, parylene-af4, and PAE-2 films has been characterized in an inductively coupled plasma source employing Ar/O 2 gas mixtures. It is possible to capture the observed etch rate dependence for parylene-n, parylene-af4, and PAE-2 on O 2 flow, ion flux, ion energy, and feature geometry by a simple surface coverage model. Sidewall bowing and mask undercutting in Ar/O 2 discharges can be reduced or prevented by the redeposition of oxygen-deficient and carbon-rich etch products released at the feature bottom. Finally, it was demonstrated that successful pattern transfer is also possible in N 2 /O 2 discharges. The underlying mechanism for sidewall passivation in these discharges will be addressed in future work. TABLE I. Ion and molecular oxygen fluxes in Ar/O 2 plasmas maintained at 40 sccm total gas flow, 4 mtorr pressure, and 600 W source power O 2 flow I O O2 O2 % (10 17 cm -2 s -1 ) (10 17 cm -2 s -1 ) (10 17 cm -2 s -1 ) (10 17 cm -2 s -1 ) a a a a Interpolated values. ACKNOWLEDGMENTS This work was financially supported in part by the Semiconductor Research Corporation and Air Products and Chemicals, Inc. Air Products and Chemicals, Inc. is also acknowledged for the supply of PAE-2 wafers. The authors acknowledge Leybold Inficon and Balzers Instruments for supplying them with the mass spectrometers used in this work. M. A. Plano is acknowledged for providing the parylene-af4 wafers. T. J. Dalton is thanked for helpful dis- JVST A - Vacuum, Surfaces, and Films

12 446 Standaert et al.: High-density plasma patterning 446 cussions. M. Schaepkens, E. A. Joseph, and K. H. J. M. Robben are thanked for their assistance with the data taking. 1 T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, J. Vac. Sci. Technol. A 17, P. J. Matsuo, T. E. F. M. Standaert, S. D. Allen, G. S. Oehrlein, and T. J. Dalton, J. Vac. Sci. Technol. B 17, J. E. Heidenreich, J. R. Paraszcak, M. Moisan, and G. Sauve, Microelectron. Eng. 5, O. Joubert, C. Martinet, J. Pelletier, M. Pons, J. M. Francou, J. P. Panabiere, A. Weill, S. Tedesco, F. Vinet, and T. Mourier, Proc. SPIE 1803, M. Pons, J. Pelletier, and O. Joubert, J. Appl. Phys. 75, A. M. Goethals, F. van Roey, T. Sugihara, L. van den Hove, J. Vertommen, and W. Klippert, J. Vac. Sci. Technol. B 16, T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, T. J. Dalton, T.-M. Lu, and R. Gutmann, Mater. Res. Soc. Symp. Proc. 511, M. R. Baklanov, S. Vanhaelemeersch, H. Bender, and K. Maex, J. Vac. Sci. Technol. B 17, E. Korczynski, Solid State Technol. 42, W. F. Burgoyne, U. S. Patent Document No. 5,658, C. T. Rosenmayer, U. S. Patent Document No. 5,889, T. E. F. M. Standaert, M. Schaepkens, X. Li, P. J. Matsuo, and G. S. Oehrlein unpublished. 13 J. H. Keller, J. C. Forster, and M. S. Barnes, J. Vac. Sci. Technol. A 11, X. Li, M. Schaepkens, G. S. Oehrlein, R. E. Ellefson, and L. C. Frees, J. Vac. Sci. Technol. submitted. 15 M. F. Doeming, N. R. Rueger, G. S. Oehrlein, and J. M. Cook, J. Vac. Sci. Technol. B 16, Y. Wang, R. J. Van Brunt, and J. K. Olthoff, J. Appl. Phys. 83, C. Lee and M. A. Lieberman, J. Vac. Sci. Technol. A 13, R. E. Walkup, K. L. Saenger, and G. S. Selwyn, J. Chem. Phys. 84, M. van Kampen, Internal Report No. VDF/NT 97-33, Eindhoven University of Technology M. Schaepkens, N. R. Rueger, J. J. Beulens, X. Li, T. E. F. M. Standaert, P. J. Matsuo, and G. S. Oehrlein, J. Vac. Sci. Technol. A 17, G. Turban and M. Rapeaux, J. Electrochem. Soc. 130, H. Gokan and S. Esho, J. Electrochem. Soc. 131, CH. Steinbruchel, B. J. Curtis, H. W. Lehmann, and R. Widmer, IEEE Trans. Plasma Sci. PS-14, J. A. G. Baggerman, R. J. Visser, and E. J. H. Collart, J. Appl. Phys. 75, E. J. H. Collart, J. A. G. Baggerman, and R. J. Visser, J. Appl. Phys. 78, J. M. Cook and B. W. Benson, J. Electrochem. Soc. 130, G. S. Selwyn, J. Appl. Phys. 60, I. Tepermeister and H. Sawin, J. Vac. Sci. Technol. A 9, G. Beamson and D. Briggs, High Resolution XPS of Organic Polymers Wiley, New York, 1992, p H. Namatsu, Y. Ozaki, and K. Hirata, J. Electrochem. Soc. 130, J. C. Arnold and H. H. Sawin, J. Appl. Phys. 70, M. Schaepkens and G. S. Oehrlein, Appl. Phys. Lett. 72, S. Van Nguyen, D. Dobuzinski, S. R. Stiffler, and G. Chrisman, J. Electrochem. Soc. 138, T. J. Dalton, J. C. Arnold, H. H. Sawin, and D. Corliss, J. Electrochem. Soc. 140, A. C. Westerheim, A. H. Labun, J. H. Dablash, J. C. Arnold, H. H. Sawin, and V. Yu-Wang, J. Vac. Sci. Technol. A 13, K. H. A. Bogart, F. P. Klemens, M. V. Malyshev, J. I. Colonell, V. M. Donnelly, J. T. C. Lee, and J. M. Lane, J. Vac. Sci. Technol. A 18, W. E. Vanderlinde and A. L. Ruoff, J. Vac. Sci. Technol. B 6, O. Joubert, J. Pelletier, and Y. Arnal, J. Appl. Phys. 65, T. A. Blanchet, in Handbook of Thermoplastics, edited by O. Olabisi Marcel Dekker, New York, 1997, p P. K. Wu, G.-R. Yang, J. F. McDonald, and T.-M. Lu, J. Electron. Mater. 24, R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci. Technol. B 10, ; note that this reference contains a typographical error in Eqs. 7 and 8 which is rectified in Ref A. D. Bailey III, M. C. M. van de Sanden, J. A. Gregus, and R. A. Gottscho, J. Vac. Sci. Technol. B 13, J. Vac. Sci. Technol. A, Vol. 19, No. 2, MarÕApr 2001

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma R. L. Bruce, a T. Lin, R. J. Phaneuf, and G. S. Oehrlein b Department of Material Science and Engineering and

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon

Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon P. J. Matsuo, a) B. E. E. Kastenmeier, J. J. Beulens, and G. S. Oehrlein b) Department of Physics, University

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Anisotropic etching of polymer films by high energy È100s of ev oxygen atom neutral beams

Anisotropic etching of polymer films by high energy È100s of ev oxygen atom neutral beams Anisotropic etching of polymer films by high energy È100s of ev oxygen atom neutral beams Siddhartha Panda and Demetre J. Economou a) Plasma Processing Laboratory, Department of Chemical Engineering, University

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas M. Haverlag, a) W. W. Stoffels, E. Stoffels, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements Uwe Scheithauer, 82008 Unterhaching, Germany E-Mail: scht.uhg@googlemail.com Internet: orcid.org/0000-0002-4776-0678;

More information

Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen

Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen W. Jacob, C. Hopf, and M. Schlüter Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstr.

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7 Advanced Lab Course X-Ray Photoelectron Spectroscopy M210 As of: 2015-04-01 Aim: Chemical analysis of surfaces. Content 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT 3 3.1 Qualitative analysis 6 3.2 Chemical

More information

TPD-MS. Photocatalytic Studies Using Temperature Programmed Desorption Mass Spectrometry (TPD-MS) APPLICATION NOTE NOTE

TPD-MS. Photocatalytic Studies Using Temperature Programmed Desorption Mass Spectrometry (TPD-MS) APPLICATION NOTE NOTE TPD-MS APPLICATION NOTE NOTE Photocatalytic Studies Using Temperature Programmed Desorption Mass Spectrometry (TPD-MS) Thermal analysis consists of many techniques for the exploration of the physical properties

More information

Light-Induced Atom Desorption in Alkali Vapor Cells

Light-Induced Atom Desorption in Alkali Vapor Cells Fundamental Physics Using Atoms, 2010/08/09, Osaka Light-Induced Atom Desorption in Alkali Vapor Cells A. Hatakeyama (Tokyo Univ. Agr. Tech.) K. Hosumi K. Kitagami Alkali vapor cells UHV cell for laser

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Table 1: Residence time (τ) in seconds for adsorbed molecules

Table 1: Residence time (τ) in seconds for adsorbed molecules 1 Surfaces We got our first hint of the importance of surface processes in the mass spectrum of a high vacuum environment. The spectrum was dominated by water and carbon monoxide, species that represent

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion N. Fox-Lyon, F. Weilnboeck, G.S. Oehrlein Department of Material Science and Engineering, Department

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Hysteresis-free reactive high power impulse magnetron sputtering

Hysteresis-free reactive high power impulse magnetron sputtering Linköping University Postprint Hysteresis-free reactive high power impulse magnetron sputtering E. Wallin and U. Helmersson N.B.: When citing this work, cite the original article. Original publication:

More information

Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films

Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films Johns Hopkins University (founded in 1876) Dr. C.C. Perry Prof. D.H. Fairborther School of Arts & Sciences

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information