Probe Pattern Design for Low-Cost and High-Speed Loopback Test. Norman Chunghwa Precision Test Tech

Size: px
Start display at page:

Download "Probe Pattern Design for Low-Cost and High-Speed Loopback Test. Norman Chunghwa Precision Test Tech"

Transcription

1 Probe Pattern Design for Low-Cost and High-Speed Loopback Test Norman Chunghwa Precision Test Tech

2 Overview VPC Test Structure The Importance of Impedance Continuity Impedance Control on Probe Pattern The Best Transmission Structure Examples of How to Find the Most Appropriate Solution Conclusion 2

3 VPC Test Structure For VPC test ATE Bridge Beam PH Wafer Pogo Pin PCB Space Transformer(Substrate) Probe Card For fine-pitch fan out routing Why using Substrate? Substrate PH Wafer C4 Pitch 50um 3

4 VPC Test Structure What are CHPT s solutions for high speed signal on card? DFT CHPT in IC design flexibility. Phase Excellent Impedance Control, Loopback + Bias-T and low cost The speed of signal is getting faster with the evolution of the technology. Excellent Impedance Control, Excellent Impedance Control Loopback + Bias-T and low cost 4

5 VPC Test Structure ST Loopback +Bias-T Design AC DC DC DC -10dB Good S-Parameter AC DC 20GHz FB right on high speed trace to avoid stub. 100Ω+5% 100Ω-5% Good Impedance Control CHPT is specialized at PCB/PC design for good SI. USB3.1 (10Gbps) 5

6 The Importance of Impedance Continuity Blue Key Factor 6

7 The Importance of Impedance Continuity Good eyes opening Only ST 10Gbps (USB 3.1 Gen2 ) 7

8 The Importance of Impedance Continuity 1mm 7mm Probes cause worse eye opening. V length When length is 7mm 1mm : It occupies 0.116λ λ=6 (> λ o /20) (5GHz =42 Signal) o (5GHz Signal) λ =60mm ST for + Probe 5GHz speed signal (in air) 10Gbps (USB 3.1 Gen2 ) 8

9 The Importance of Impedance Continuity 166Ω USB3.1 (10Gbps) To adjust pattern 124Ω USB3.1 (10Gbps) Eye Opening could be improved by optimizing pattern(signal and GND) for better impedance continuity. 9

10 The Importance of Impedance Continuity ø Pitch Current Loop Length Wire Pitch Loop Inductance Z o Wire Length Loop Inductance Z o ø Loop Inductance Z o Key factors of impedance control on open-wire T-Line : 1. Pitch (Signal to GND) 2. Length 3. Diameter (Z o = ) 10

11 Impedance Control on Probe Pattern The key factors of impedance control for pattern : Probes Change Probe Pattern W/O Change Probe Pattern Signal (Differential Pair) GND Increase Impedance Decrease Impedance Decrease Reflection GND Probe Quantities Probe Pitch Probe Length Probe Cross-Section x a y b No GND 6 GND 11

12 Impedance Control on Probe Pattern GND Probe Quantities(fixed pitch, length and cross-section) Speed : USB 3.1 Gen2, 10Gbps GND Probe x 0 GND Probe x 2 GND Probe x 6 7Ω 21Ω Delay Time ST Delay Time ST Delay Time ST 12

13 Impedance Control on Probe Pattern Probe Pitch(fixed quantities, length and cross-section) Speed : USB 3.1 Gen2, 10Gbps x y x = 130um, y =141um x y x = 108um, y =121um x y x = 93um, y =101um 24Ω 26Ω Delay Time ST Delay Time ST Delay Time ST 13

14 Impedance Control on Probe Pattern Probe Length(fixed quantities, pitch and cross-section) Speed : USB 3.1 Gen2, 10Gbps ST Probe Die Signal Path MEMS ST(Zo) Probe (Z 1 ) Die RX(Z L =Z o ) Length = 7000um Length = 6000um Length = 4000um Z in Z Z in in Z L + jz1 0 = Z1 Z + jz 0 Z Z = Z1 Z if 0 = in lim 0 1 = Z L L Z Z1 Z L jz1 tan β jz tan β L 1 L + + jz1 tan β jz tan β L When Z 1 () length is shorter, Z in would be close to Z L. When Z L =Z o, there is no reflection on this structure. Z in 14

15 Impedance Control on Probe Pattern Probe Cross-Section(fixed quantities, pitch and length) Speed : USB 3.1 Gen2, 10Gbps a b Type A(30um x 35um) Type B(41um x 42um) Type C(43um x 55um) a b a b 32Ω 16Ω Delay Time ST Delay Time ST Delay Time ST 15

16 Impedance Control on Probe Pattern Key Factors of Impedance Control 1GND Probe Quantities 2Probe Pitch 3Probe Length 4Probe Cross-Section Variables of Probe Pattern Eye Opening Performance No GND Probe 2 GND Probe 6 GND Probe X = 130um, y =141um X = 108um, y =121um X = 93um, y =101um Length = 7000um Length = 6000um Length = 4000um Type A(30um x 35um) Type B(41um x 42um) Type C(43um x 55um) Eye opening performance: Bad Medium Good 16

17 The Best Transmission Structure According to the previous experiment results, we could reach to the best pattern for good eye opening and impedance continuity. DUT + Probe(before optimization) + ST DUT + Probe(after optimization) + ST 74Ω Delay Time ST Delay Time ST Probe pattern can be optimized to improve impedance discontinuity. Speed : USB 3.1 Gen2, 10Gbps 17

18 Design For Testing(DFT) CP Testing End In Product IC Design Phase ST ST 7mm 0.1mm Probe Die DFT should If not, the take only impedance way is to continuity choose of the pattern appropriate into consideration. type. 18

19 Example1 with DFT Consideration For a chosen/fixed with 43um x 55um cross-section, five combinations of pitch and GND quantities can achieve better SI. After Optimization Before Optimization DFT Key Factors can of design Impedance both Control GND Probe Quantities Probe and Pitch Probe Pitch to get better SI. GND Probe Quantities 2GND X = 130um, y =141um Eye Opening Speed : USB 3.1 Gen2, 10Gbps Key Factors of Impedance Control GND Probe Quantities Probe Pitch 6GND X = 93um, y =101um 6 GND X = 89um, y =111um Eye Opening GND Probe Quantities Probe Pitch 4GND X = 98um, y =81um x y 4GND X = 82um, y =101um No GND 6 GND 2GND X = 89um, y =81um 19

20 Before Optimization Key Factors of Impedance Control GND Probe Quantities 2GND Example2 w/o DFT Consideration For chosen/fixed pitch and GND Probe Quantities of 141um S to S and 130um S to G design, five combinations of cross-section and GND quantities can achieve better SI. If not, choose type for better Probe SI. Cross-Section 43um X 55um Eye Opening Speed : USB 3.1 Gen2, 10Gbps After Optimization Key Factors of Impedance Control GND Probe Quantities 6 GND 6GND Probe Cross-Section (Cobra Probe) φ= 101.6um(4mil) Length=5175um φ= 76.2um(3mil) Length=4851um Eye Opening GND Probe Quantities Probe Cross-Section a b 4GND 4GND φ= 101.6um(4mil) Length=5175um φ= 76.2um(3mil) Length=4851um No GND 6 GND 2GND φ= 101.6um(4mil) Length=5175um 20

21 Conclusion The best transmission structure should consider impedance continuity between and space transformer. Probe pattern is also a key factor for high speed SI performance, therefore, it is necessary to be aware how to optimize pattern for impedance continuity. Four key factors of pattern should be optimized as below: GND Probe Quantities Probe Pitch Probe Length Probe Cross-Section x a y L b No GND 6 GND 21

A Multi-Line De-Embedding Technique for mm-wave CMOS Circuits

A Multi-Line De-Embedding Technique for mm-wave CMOS Circuits A Multi-Line De-Embedding Technique for mm-wave CMOS Circuits Naoki Takayama, Kota Matsushita, Shogo Ito, Ning Li, Keigo Bunsen Kenichi Okada, and Akira Tokyo Institute of Technology, Japan Outline 2 Background

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

0805 Size Differential Chip Delay Line. S o l v e s t h e p r o b l e m s o f s p a c e u s a g e a n d t h e c h a r a c t e r i s t i c

0805 Size Differential Chip Delay Line. S o l v e s t h e p r o b l e m s o f s p a c e u s a g e a n d t h e c h a r a c t e r i s t i c FEATURES SPECIFICATIONS Smaller & lower cost than our existing products 10ps increments 15GHz Band width, available for 10Gbps data signals Suitable for inter-lane de-skew on multi-lane high speed serial

More information

Transmission Line Basics

Transmission Line Basics Transmission Line Basics Prof. Tzong-Lin Wu NTUEE 1 Outlines Transmission Lines in Planar structure. Key Parameters for Transmission Lines. Transmission Line Equations. Analysis Approach for Z and T d

More information

Power Distribution Network Design for High-Speed Printed Circuit Boards

Power Distribution Network Design for High-Speed Printed Circuit Boards Power Distribution Network Design for High-Speed Printed Circuit Boards Jun Fan NCR Corporation 1 Outline Overview of PDN design in multi-layer PCBs Interconnect Inductance Individual Capacitor Values

More information

Transmission Lines. Author: Michael Leddige

Transmission Lines. Author: Michael Leddige Transmission Lines Author: Michael Leddige 1 Contents PCB Transmission line structures Equivalent Circuits and Key Parameters Lossless Transmission Line Analysis Driving Reflections Systems Reactive Elements

More information

CHP4012a98F RoHS COMPLIANT

CHP4012a98F RoHS COMPLIANT RoHS COMPLIANT GaAs Monolithic Microwave IC Description The CHP4012a98F is a 6-bit phase shifter monolithic circuit, which integrates a CMOS and TTL compatible interface. It is designed for a wide range

More information

Probing High Power Logic Die at Sort

Probing High Power Logic Die at Sort Slide 1 Probing High Power Logic Die at Sort Tim Swettlen 2200 Mission College Blvd. M/S SC2-07 Santa Clara, CA 95054 Tim.Swettlen@intel.com Slide 2 Agenda High Power A Test Point of View How a Probe Card

More information

ECE 451 Transmission Lines & Packaging

ECE 451 Transmission Lines & Packaging Transmission Lines & Packaging Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Radio Spectrum Bands The use of letters to designate bands has long ago

More information

Differential Impedance finally made simple

Differential Impedance finally made simple Slide - Differential Impedance finally made simple Eric Bogatin President Bogatin Enterprises 93-393-305 eric@bogent.com Slide -2 Overview What s impedance Differential Impedance: a simple perspective

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

A Novel Superior Low Force Probe Geometry Enabling Probing on Micro Bumps with Very Small Pitches

A Novel Superior Low Force Probe Geometry Enabling Probing on Micro Bumps with Very Small Pitches A Novel Superior Low Force Probe Geometry Enabling Probing on Micro Bumps with Very Small Pitches (FM) Achim Weiland (FM) Gunther Böhm (FM) Franz Steger (TI) Overview History of the Buckling Beam Striped

More information

ECE 497 JS Lecture -07 Planar Transmission Lines

ECE 497 JS Lecture -07 Planar Transmission Lines ECE 497 JS Lecture -07 Planar Transmission Lines Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Microstrip ε Z o w/h < 3.3 2 119.9 h h =

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Accounting for High Frequency Transmission Line Loss Effects in HFSS. Andrew Byers Tektronix

Accounting for High Frequency Transmission Line Loss Effects in HFSS. Andrew Byers Tektronix Accounting for High Frequency Transmission Line Loss Effects in HFSS Andrew Byers Tektronix Transmission Line Refresher γ = α + j β = (R + jωl) * (G + jωc) Zo = Zr + j Zi = (R + jωl) / (G + jωc) Transmission

More information

ECE 497 JS Lecture - 18 Noise in Digital Circuits

ECE 497 JS Lecture - 18 Noise in Digital Circuits ECE 497 JS Lecture - 18 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 15 th Speaker:

More information

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher The title of this section is A Commercial Multi-Line TRL Calibration

More information

Keysight Technologies Heidi Barnes

Keysight Technologies Heidi Barnes Keysight Technologies 2018.03.29 Heidi Barnes 1 S I G N A L I N T E G R I T Y A N D P O W E R I N T E G R I T Y Hewlett-Packard Agilent Technologies Keysight Technologies Bill and Dave s Company and the

More information

HBM fine pitch micro pillar grid array probing evaluation

HBM fine pitch micro pillar grid array probing evaluation HBM fine pitch micro pillar grid array probing evaluation Raffaele Vallauri, Technoprobe Daniele Perego, Technoprobe Marco Prea, Technoprobe Jaehong Kim, Samsung Electronics Jinyeong Yun, Samsung Electronics

More information

AN019. A Better Approach of Dealing with Ripple Noise of LDO. Introduction. The influence of inductor effect over LDO

AN019. A Better Approach of Dealing with Ripple Noise of LDO. Introduction. The influence of inductor effect over LDO Better pproach of Dealing with ipple Noise of Introduction It has been a trend that cellular phones, audio systems, cordless phones and portable appliances have a requirement for low noise power supplies.

More information

IMPEDANCE MATCHING CAPABILITY OF NOVEL SOCKET CONTACTOR DESIGN USING VARIABLE OPEN STUBFOR RF PACKAGING TESTING

IMPEDANCE MATCHING CAPABILITY OF NOVEL SOCKET CONTACTOR DESIGN USING VARIABLE OPEN STUBFOR RF PACKAGING TESTING Progress In Electromagnetics Research, Vol. 113, 67 82, 2011 IMPEDANCE MATCHING CAPABILITY OF NOVEL SOCKET CONTACTOR DESIGN USING VARIABLE OPEN STUBFOR RF PACKAGING TESTING S.-M. Wu and K. Y. Wang Department

More information

GHz 6-Bit Digital Phase Shifter

GHz 6-Bit Digital Phase Shifter 180 90 45 22.5 11.25 5.625 ASL 2004P7 3.1 3.5 GHz 6-Bit Digital Phase Shifter Features Functional Diagram Frequency Range: 3.1 to 3.5 GHz RMS Error < 2 deg. 5 db Insertion Loss TTL Control Inputs 0.5-um

More information

ECE 598 JS Lecture 06 Multiconductors

ECE 598 JS Lecture 06 Multiconductors ECE 598 JS Lecture 06 Multiconductors Spring 2012 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 TELGRAPHER S EQUATION FOR N COUPLED TRANSMISSION LINES

More information

FAN ma, Low-IQ, Low-Noise, LDO Regulator

FAN ma, Low-IQ, Low-Noise, LDO Regulator April 2014 FAN25800 500 ma, Low-I Q, Low-Noise, LDO Regulator Features V IN: 2.3 V to 5.5 V V OUT = 3.3 V (I OUT Max. = 500 ma) V OUT = 5.14 V (I OUT Max. = 250 ma) Output Noise Density at 250 ma and 10

More information

Graser User Conference Only

Graser User Conference Only PCB Power Delivery Design from DC to Mid-Frequency Foxconn Abby Chou Company Introduction February 1974 Tucheng District 1.23 million Server Storage Mobile Phone Pad TV Voltage Drop and Thermal Co-Simulation

More information

Insertion. Yantel Corporation

Insertion. Yantel Corporation ev A0 Description The is a low profile, high performance 10dB directional coupler. It is designed for AMPS applications. This component is suitable for feed-forward amplifier and signal sampling circuits

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003 Interconnect (2) Buffering Techniques.Transmission Lines Lecture 12 18-322 Fall 2003 A few announcements Partners Lab Due Times Midterm 1 is nearly here Date: 10/14/02, time: 3:00-4:20PM, place: in class

More information

<Insert Picture Here> Inter-Dependence of Dielectric and Conductive Losses in Interconnects

<Insert Picture Here> Inter-Dependence of Dielectric and Conductive Losses in Interconnects Inter-Dependence of Dielectric and Conductive Losses in Interconnects Gustavo J. Blando It all started in 9. We just wanted to measure the loss tangent!!!! THE ELEPHANT 1Hz to 1MHz

More information

Achieve Your Best Design with SI

Achieve Your Best Design with SI Achieve Your Best Design with SI and PI concerns SIPro and PIPro Application Engineer / Keysight Technologies Nash TU 2018.06.11 Taipei Contents Signal Integrity Design Cycle SIPro PIPro Power-aware SI

More information

Insertion Loss. Yantel Corporation

Insertion Loss. Yantel Corporation ev B1 Description The is a low profile, high performance 10dB directional coupler. It is designed for WCDMA & 3G applications. This component is suitable for feed-forward amplifier and signal sampling

More information

PCB Effects for Power Integrity

PCB Effects for Power Integrity PCB Effects for Power Integrity Bruce Archambeault, PhD IEEE Fellow, MST Adjunct Professor IBM Distinguished Engineer Emeritus Bruce.arch@ieee.org PCB Issues for Optimum Power Integrity Inductance dominates

More information

STUB BASED EQUIVALENT CIRCUIT MODELS FOR EVEN/ODD MODE DUAL CRLH UNIT CELLS. Faculty of Engineering, Ain Shams University, Cairo, Egypt

STUB BASED EQUIVALENT CIRCUIT MODELS FOR EVEN/ODD MODE DUAL CRLH UNIT CELLS. Faculty of Engineering, Ain Shams University, Cairo, Egypt Progress In Electromagnetics Research M, Vol. 3, 95 9, 3 STUB BASED EQUIVALENT CIRCUIT MODELS FOR EVEN/ODD MODE DUAL CRLH UNIT CELLS Amr M. E. Safwat, *, Amr A. Ibrahim, Mohamed A. Othman, Marwah Shafee,

More information

Lecture 12 Date:

Lecture 12 Date: Lecture 12 Date: 09.02.2017 Microstrip Matching Networks Series- and Shunt-stub Matching Quarter Wave Impedance Transformer Microstrip Line Matching Networks In the lower RF region, its often a standard

More information

CLOSED-FORM DESIGN METHOD OF AN N-WAY DUAL-BAND WILKINSON HYBRID POWER DIVIDER

CLOSED-FORM DESIGN METHOD OF AN N-WAY DUAL-BAND WILKINSON HYBRID POWER DIVIDER Progress In Electromagnetics Research, PIER 101, 97 114, 2010 CLOSED-FORM DESIGN METHOD OF AN N-WAY DUAL-BAND WILKINSON HYBRID POWER DIVIDER Y. L. Wu, Y. A. Liu, S. L. Li, C. P. Yu, and X. Liu School of

More information

SI/PI PCB Design Considerations for Thermal

SI/PI PCB Design Considerations for Thermal SI/PI PCB Design Considerations for Thermal HEESOO LEE Lead Application Developer PIPro Power Integrity Professional Agenda Thermal effects on Signal Integrity and Power Integrity Case study Conclusion

More information

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs Analysis of -to- Coupling with -Impedance Termination in 3D ICs Taigon Song, Chang Liu, Dae Hyun Kim, and Sung Kyu Lim School of Electrical and Computer Engineering, Georgia Institute of Technology, U.S.A.

More information

PCB Effects for Power Integrity

PCB Effects for Power Integrity PCB Effects for Power Integrity Bruce Archambeault, PhD IEEE Fellow, MST Adjunct Professor IBM Distinguished Engineer Emeritus Bruce.arch@ieee.org PCB Issues for Optimum Power Integrity Inductance dominates

More information

Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates

Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates 2017. 7. 19. 1 Contents 1. Embedded passives technology 2. Thin laminates: material choices and applications 3. Buried capacitance

More information

PDN Planning and Capacitor Selection, Part 1

PDN Planning and Capacitor Selection, Part 1 by Barry Olney column BEYOND DESIGN PDN Planning and Capacitor Selection, Part 1 In my first column on power distribution network (PDN) planning, Beyond Design: Power Distribution Network Planning, I described

More information

PO3B20A. High Bandwidth Potato Chip

PO3B20A. High Bandwidth Potato Chip FEATURES: Patented technology High signal -3db passing bandwidth at 1.6GHz Near-Zero propagation delay CC = 1.65 to 3.6 Ultra-Low Quiescent Power: 0.1 A typical Ideally suited for low power applications

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

SCSI Connector and Cable Modeling from TDR Measurements

SCSI Connector and Cable Modeling from TDR Measurements SCSI Connector and Cable Modeling from TDR Measurements Dima Smolyansky TDA Systems, Inc. http://www.tdasystems.com Presented at SCSI Signal Modeling Study Group Rochester, MN, December 1, 1999 Outline

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN70: High-Speed Links Circuits and Systems Spring 07 Lecture : Channel Components, Wires, & Transmission Lines Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab Lab begins

More information

Characterization of a Printed Circuit Board Via

Characterization of a Printed Circuit Board Via Characterization of a Printed Circuit Board Via Brock J. LaMeres Thesis Defense May 25, 2000 Department of Electrical and Computer Engineering University of Colorado Colorado Springs, CO Objective To Develop

More information

INTEGRATED CIRCUITS. 74ALS11A Triple 3-Input AND gate. Product specification 1991 Feb 08 IC05 Data Handbook

INTEGRATED CIRCUITS. 74ALS11A Triple 3-Input AND gate. Product specification 1991 Feb 08 IC05 Data Handbook INTEGRATED CIRCUITS Triple 3-Input AND gate 1991 Feb 08 IC05 Data Handbook TYPE TYPICAL PROPAGATION DELAY TYPICAL SUPPLY CURRENT (TOTAL) 5.5ns 1.3mA PIN CONFIGURATION 1A 1 1B 2 14 13 V CC 1C ORDERING INFORMATION

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Transient Response of Transmission Lines and TDR/TDT

Transient Response of Transmission Lines and TDR/TDT Transient Response of Transmission Lines and TDR/TDT Tzong-Lin Wu, Ph.D. EMC Lab. Department of Electrical Engineering National Sun Yat-sen University Outlines Why do we learn the transient response of

More information

EMC Considerations for DC Power Design

EMC Considerations for DC Power Design EMC Considerations for DC Power Design Tzong-Lin Wu, Ph.D. Department of Electrical Engineering National Sun Yat-sen University Power Bus Noise below 5MHz 1 Power Bus Noise below 5MHz (Solution) Add Bulk

More information

Inductance and Partial Inductance What's it all mean?

Inductance and Partial Inductance What's it all mean? Inductance and Partial Inductance What's it all mean? Bruce Archambeault, PhD IEEE Fellow, IBM Distinguished Engineer Bruce.arch@ieee.org Inductance Probably the most misunderstood concept in electrical

More information

SRAM System Design Guidelines

SRAM System Design Guidelines Introduction This application note examines some of the important system design considerations an engineer should keep in mind when designing with Cypress SRAMs. It is important to note that while they

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture : Channel Components, Wires, & Transmission Lines Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE

SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE Progress In Electromagnetics Research Letters, Vol. 4, 149 158, 2008 SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE C.-S.

More information

Relative Permittivity Variation Surrounding PCB Via Hole Structures

Relative Permittivity Variation Surrounding PCB Via Hole Structures Relative Permittivity Variation Surrounding PCB Via Hole Structures SPI2008 Avignon France May 12-15, 2008 Lambert Simonovich lambert@nortel.com 1 SPI2008 Relative Permittivity Variation Surrounding PCB

More information

Understanding EMC Basics

Understanding EMC Basics 1of 7 series Webinar #1 of 3, February 27, 2013 EM field theory, and 3 types of EM analysis Webinar Sponsored by: EurIng CEng, FIET, Senior MIEEE, ACGI AR provides EMC solutions with our high power RF/Microwave

More information

Non-Sinusoidal Waves on (Mostly Lossless)Transmission Lines

Non-Sinusoidal Waves on (Mostly Lossless)Transmission Lines Non-Sinusoidal Waves on (Mostly Lossless)Transmission Lines Don Estreich Salazar 21C Adjunct Professor Engineering Science October 212 https://www.iol.unh.edu/services/testing/sas/tools.php 1 Outline of

More information

Accurate Modeling of Spiral Inductors on Silicon From Within Cadence Virtuoso using Planar EM Simulation. Agilent EEsof RFIC Seminar Spring 2004

Accurate Modeling of Spiral Inductors on Silicon From Within Cadence Virtuoso using Planar EM Simulation. Agilent EEsof RFIC Seminar Spring 2004 Accurate Modeling of Spiral Inductors on Silicon From Within Cadence Virtuoso using Planar EM Simulation Agilent EEsof RFIC Seminar Spring Overview Spiral Inductor Models Availability & Limitations Momentum

More information

ECE414/514 Electronics Packaging Spring 2012 Lecture 6 Electrical D: Transmission lines (Crosstalk) Lecture topics

ECE414/514 Electronics Packaging Spring 2012 Lecture 6 Electrical D: Transmission lines (Crosstalk) Lecture topics ECE414/514 Electronics Packaging Spring 2012 Lecture 6 Electrical D: Transmission lines (Crosstalk) James E. Morris Dept of Electrical & Computer Engineering Portland State University 1 Lecture topics

More information

5-6GHz 6-bit Phase-Shifter. GaAs Monolithic Microwave IC in SMD leadless package

5-6GHz 6-bit Phase-Shifter. GaAs Monolithic Microwave IC in SMD leadless package RoHS COMPLIANT GaAs Monolithic Microwave IC in SMD leadless package Description The is a 6-bit digital phase shifter MMIC with a 0-360 range. It is designed for 5 to 6GHz frequency range applications.

More information

SI Surging Ideas TVS Diode Application Note PROTECTION PRODUCTS. Layout Guidelines for adding ESD Protection in HDMI Receiver Applications

SI Surging Ideas TVS Diode Application Note PROTECTION PRODUCTS. Layout Guidelines for adding ESD Protection in HDMI Receiver Applications Layout Guidelines for adding ESD Protection in HDMI Receiver Applications The High Definition Multimedia Interface (HDMI) video signals are transmitted on very high speed differential pairs. These lines

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

PO3B14A. Description. Truth Table. High Bandwidth Potato Chip V CC N.C. EN EN S 1 A 3 B 3 B 2 A 2 A 1 B 1 Y A Y B GND

PO3B14A. Description. Truth Table. High Bandwidth Potato Chip V CC N.C. EN EN S 1 A 3 B 3 B 2 A 2 A 1 B 1 Y A Y B GND www.potatosemi.com FEATURES: Patented technology High signal -3db passing bandwidth at 1.2GHz Near-Zero propagation delay VCC = 1.65V to 3.6V Ultra-Low Quiescent Power: 0.1 A typical Ideally suited for

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

HOW TO CHOOSE & PLACE DECOUPLING CAPACITORS TO REDUCE THE COST OF THE ELECTRONIC PRODUCTS

HOW TO CHOOSE & PLACE DECOUPLING CAPACITORS TO REDUCE THE COST OF THE ELECTRONIC PRODUCTS HOW TO CHOOSE & PLACE DECOUPLING CAPACITORS TO REDUCE THE COST OF THE ELECTRONIC PRODUCTS Zhen Mu and Heiko Dudek Cadence Design Systems, Inc. Kun Zhang Huawei Technologies Co., Ltd. With the edge rates

More information

Probe Tip Characterization Using Self-Calibration. Lab. RF Modeling

Probe Tip Characterization Using Self-Calibration. Lab. RF Modeling Probe Tip Characterization Using Self-Calibration 1 Overview - equipment - how to determine probe S-parameter and parasitics (probe specific calibration coefficients) for different probes with only one

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Arithmetic logic unit

Arithmetic logic unit FEATURES Provides arithmetic operation: add, subtract, compare, and double; plus 12 other arithmetic operatio Provides all logic operatio of two variables: Exclusive-OR, Compare, AND, NAND, NOR, OR, plus

More information

Characteristics of Passive IC Devices

Characteristics of Passive IC Devices 008/Oct 8 esistors Characteristics of Passive IC Devices Poly esistance Diffusion esistance Well esistance Parasitic esistance Capacitors Poly Capacitors MOS Capacitors MIM Capacitors Parasitic Capacitors

More information

S-PARAMETER QUALITY METRICS AND ANALYSIS TO MEASUREMENT CORRELATION

S-PARAMETER QUALITY METRICS AND ANALYSIS TO MEASUREMENT CORRELATION S-PARAMETER QUALITY METRICS AND ANALYSIS TO MEASUREMENT CORRELATION VNA Measurement S-Parameter Quality Metrics 2 S-Parameter Quality Metrics Quality is important Reciprocity Forward and reverse transmission

More information

Solutions to Problems in Chapter 6

Solutions to Problems in Chapter 6 Appendix F Solutions to Problems in Chapter 6 F.1 Problem 6.1 Short-circuited transmission lines Section 6.2.1 (book page 193) describes the method to determine the overall length of the transmission line

More information

Chapter 2 Lateral Series Switches

Chapter 2 Lateral Series Switches Chapter 2 Lateral Series Switches The objective of this chapter is to study the lateral RF MEMS series switch [1 14]. The switch consists of a silicon-core (Si-core) transmission line and a cantilever

More information

Analytic Solutions for Periodically Loaded Transmission Line Modeling

Analytic Solutions for Periodically Loaded Transmission Line Modeling Analytic Solutions for Periodically Loaded Transmission Line Modeling Paul G. Huray, huray@sc.edu Priya Pathmanathan, Intel priyap@qti.qualcomm.com Steve Pytel, Intel steve.pytel@ansys.com April 4, 2014

More information

ECE 107: Electromagnetism

ECE 107: Electromagnetism ECE 107: Electromagnetism Set 2: Transmission lines Instructor: Prof. Vitaliy Lomakin Department of Electrical and Computer Engineering University of California, San Diego, CA 92093 1 Outline Transmission

More information

Transmission Lines. Transmission lines. Telegraphist Equations. Reflection Coefficient. Transformation of voltage, current and impedance

Transmission Lines. Transmission lines. Telegraphist Equations. Reflection Coefficient. Transformation of voltage, current and impedance Transmission Lines Transmission lines Telegraphist Equations Reflection Coefficient Transformation of voltage, current and impedance Application of trasnmission lines 1 ENGN4545/ENGN6545: Radiofrequency

More information

Test System Requirements For Wafer Level MRAM Test

Test System Requirements For Wafer Level MRAM Test Test System Requirements For Wafer Level MRAM Test Raphael Robertazzi IBM/Infineon MRAM Development Alliance With Acknowledgement To Cascade Microtech Inc. And Temptronics Inc. 6/07/04 SWTW-2004 Page [1]

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

SP8008 Series Diode Array

SP8008 Series Diode Array SP88 Series Diode Array RoHS Pb GRN Description The SP88 integrates eight channels of ultra low capacitance common mode protection for electronic equipment exposed to electrostatic discharges (SD. This

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

Electromagnetic Wave Absorption Technology for Stub Effects Mitigation

Electromagnetic Wave Absorption Technology for Stub Effects Mitigation TITLE Electromagnetic Wave Absorption Technology for Stub Effects Mitigation Image Shaowu Huang, Kai Xiao, Beomtaek Lee Intel Corporation January 20, 2016 Basic Physical Idea: Reduce the stub effects by

More information

DESIGN AND OPTIMIZATION OF EQUAL SPLIT BROADBAND MICROSTRIP WILKINSON POWER DI- VIDER USING ENHANCED PARTICLE SWARM OPTI- MIZATION ALGORITHM

DESIGN AND OPTIMIZATION OF EQUAL SPLIT BROADBAND MICROSTRIP WILKINSON POWER DI- VIDER USING ENHANCED PARTICLE SWARM OPTI- MIZATION ALGORITHM Progress In Electromagnetics Research, Vol. 118, 321 334, 2011 DESIGN AND OPTIMIZATION OF EQUAL SPLIT BROADBAND MICROSTRIP WILKINSON POWER DI- VIDER USING ENHANCED PARTICLE SWARM OPTI- MIZATION ALGORITHM

More information

/15 Current balance / Force acting on a current-carrying conductor

/15 Current balance / Force acting on a current-carrying conductor Electricity Stationary currents /15 Current balance / Force acting on a current-carrying conductor What you can learn about Uniform magnetic field Magnetic induction (formerly magnetic-flux densitiy) Lorentz

More information

Kimmo Silvonen, Transmission lines, ver

Kimmo Silvonen, Transmission lines, ver Kimmo Silvonen, Transmission lines, ver. 13.10.2008 1 1 Basic Theory The increasing operating and clock frequencies require transmission line theory to be considered more and more often! 1.1 Some practical

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: pecial Topics in High-peed Links Circuits and ystems pring 01 Lecture 3: Time-Domain Reflectometry & -Parameter Channel Models am Palermo Analog & Mixed-ignal Center Texas A&M University Announcements

More information

CDS 101/110a: Lecture 8-1 Frequency Domain Design

CDS 101/110a: Lecture 8-1 Frequency Domain Design CDS 11/11a: Lecture 8-1 Frequency Domain Design Richard M. Murray 17 November 28 Goals: Describe canonical control design problem and standard performance measures Show how to use loop shaping to achieve

More information

An Introduction to Sonnet

An Introduction to Sonnet An Introduction to Sonnet 1 Sonnet EM Simulator A 3-D planar EM analysis software Based on the Method of Moments Intended for frequency-domain analysis of planar circuits (microstrip, stripline, PCBs,

More information

some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09

some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09 some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09 review fundamentals sample sample holder r dep = deposition rate (thickness/sec) r evap = evaporation rate

More information

112 Gbps In and Out of Package Challenges Design insights from electromagnetic analysis. Yuriy Shlepnev, Simberian Inc.

112 Gbps In and Out of Package Challenges Design insights from electromagnetic analysis. Yuriy Shlepnev, Simberian Inc. 112 Gbps In and Out of Package Challenges Design insights from electromagnetic analysis Yuriy Shlepnev, Simberian Inc. shlepnev@simberian.com Package and PCB scales in symbol time for 112 Gbps PAM4 Package:

More information

How Resonant Structures Affect Power Distribution Networks and Create Emissions.

How Resonant Structures Affect Power Distribution Networks and Create Emissions. How Resonant Structures Affect Power Distribution Networks and Create Emissions. Presented by Joanna McLellan January 17, 2019 JoannaEMC@iCloud.com 248-765-3599 Lots of people have the paradigm that adding

More information

PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution

PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution Journal of Contemporary Electronic Research Education and Research Application Research Article PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution

More information

NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD) plastic package.

NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device (SMD) plastic package. Rev. 03 11 December 2009 Product data sheet 1. Product profile 1.1 General description NPN/PNP low V CEsat Breakthrough in Small Signal (BISS) transistor pair in a SOT457 (SC-74) Surface Mounted Device

More information

A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS

A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS Progress In Electromagnetics Research, Vol. 19, 197 14, 1 A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS J. C. Li *, Y. L. Wu, Y. A. Liu, J. Y. Shen, S. L. Li, and C.

More information

Handling Silicon substrate effects in EM simulators

Handling Silicon substrate effects in EM simulators Slide 1 Handling Silicon substrate effects in EM simulators franz.sischka@agilent.com 7.12.2004 1 Slide 2 Introduction: difference between Substrate Loss Tangent and Substrate Conductivity with respect

More information

UNIVERSAL SIGNAL INTERFACE UNIT

UNIVERSAL SIGNAL INTERFACE UNIT SGI- rev. A UNIVERSAL SIGNAL INTERFACE UNIT This unit can recalibrate a speedometer signal or convert a speed signal from one type to another type. The different functions it can perform are as follows:.

More information

Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards

Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards Frank Y. Yuan Viewlogic Systems Group, Inc. 385 Del Norte Road

More information

Octal buffer/line driver (3-State)

Octal buffer/line driver (3-State) FEATURES Octal bus interface Functio similar to the ABT4 Provides ideal interface and increases fan-out of MOS Microprocessors Efficient pinout to facilitate PC board layout 3-State buffer outputs sink

More information

PDN Planning and Capacitor Selection, Part 2

PDN Planning and Capacitor Selection, Part 2 by Barry Olney column BEYOND DESIGN PDN Planning and Capacitor Selection, Part 2 In last month s column, PDN Planning and Capacitor Selection Part 1, we looked closely at how to choose the right capacitor

More information

Layout-Optimization of Power-Devices. Frederik Vanaverbeke, J. Das, H. Oprins, J. Derluyn, M. Germain, W. De Raedt

Layout-Optimization of Power-Devices. Frederik Vanaverbeke, J. Das, H. Oprins, J. Derluyn, M. Germain, W. De Raedt Layout-Optimization of Power-Devices Frederik Vanaverbeke, J. Das, H. Oprins, J. Derluyn, M. Germain, W. De Raedt Outline 1. Problem + Nomenclature 2. Unit gatewidth 3. Thermal 4. Number of unit cells

More information

Preamplifier in 0.5µm CMOS

Preamplifier in 0.5µm CMOS A 2.125 Gbaud 1.6kΩ Transimpedance Preamplifier in 0.5µm CMOS Sunderarajan S. Mohan Thomas H. Lee Center for Integrated Systems Stanford University OUTLINE Motivation Shunt-peaked Amplifier Inductor Modeling

More information

Education, Xidian University, Xi an, Shaanxi , China

Education, Xidian University, Xi an, Shaanxi , China Progress In Electromagnetics Research, Vol. 142, 423 435, 2013 VERTICAL CASCADED PLANAR EBG STRUCTURE FOR SSN SUPPRESSION Ling-Feng Shi 1, 2, * and Hong-Feng Jiang 1, 2 1 Key Lab of High-Speed Circuit

More information