BEYOND CHARGE-BASED COMPUTING: STT-MRAMS

Size: px
Start display at page:

Download "BEYOND CHARGE-BASED COMPUTING: STT-MRAMS"

Transcription

1 BEYOND CHARGE-BASED COMPUTING: STT-MRAMS KAUSHIK ROY XUANYAO FONG, CHARLES AUGUSTINE, GEORGE PANAGOPOULOS, YUSUNG KIM, KONWOO KWON, HARSHA CHODAY, MRIGANK SHARAD, ANAND RAGHUNATHAN ELECTRICAL & COMPUTER ENGINEERING PURDUE UNIVERSITY WEST LAFAYETTE, IN 47906, USA

2 Why Beyond Charge based Computing? 10µm Device Dimension 1µm 100nm 10nm 1nm 0.1nm 1960 Increased Process Variations, Leakage, Power Density; Less Reliability and Yield Fundamental Limit Device 1 Device 2 Channel length Process Variations Failure probability Defects Tech. generation Time Life time degradation Reliability Power Density (W/cm 2 ) Power Density

3 Why Beyond Charge Based Computing? Traditional computing models (Boolean logic, von Neumann architectures) are highly inefficient at performing tasks that humans routinely perform, such as visual recognition, semantic analysis, and reasoning. Bio-inspired computation can outperform Von-Neumann designs in many such data processing applications if the computing model matches device architecture and the devices operated at very low voltage 4

4 2003 Technology Trend More Moore Beyond CMOS 2020 Bulk-CMOS V G V S Gate V D Source Floating Body Drain Buried Oxide (BOX) Substrate PD/SOI Fully-depleted body V S V G Gate V D Source Drain Buried Oxide (BOX) Substrate V back FD/SOI Single gate device DGMOS FinFET Trigate Multi-gate devices Carbon nanotube Graphene TFETs III-V devices Spintronics?? Design methods to exploit the advantages of technology innovations

5 Sources of Magnetic Moments in Ferromagnetic Materials Direction Characteristics in s-, p- and d- orbital's Iron Lattice Structure (body central cubic) Cobalt Lattice Structure (Hexagonal Close Pack) Incomplete 3d orbitals is the principle source of magnetic moments in transition metals

6 State Variable: Charge & Spin Spin: magnetism Charge: semiconductor electronics SPINTRONICS LARGE SCALE SYSTEMS

7 STT-Devices Giant Magneto Resistance (GMR) 1988: Peter Grunberg, Germany Albert Fert, France 2007: Nobel Prize (a) Spin Transfer Switching (STS) 1996: Slonczewski, US MgO Lateral Spin Valves (Local & Non-Local) 2008: Yang, Kimura, Otani Memories: high density, stability, low read/write current, access time, zero leakage.. Logic (Boolean & Non-Boolean): Ultra low voltage switch, Neuromorphic computing, (all-spin logic no spin-charge conversion), i/p o/p isolation, zero leakage, Interconnects: Spin channel (short), Ultra low voltage swing for charge based int.

8 MEMORIES Prof. Kaushik Purdue Univ.

9 The Memory Hierarchy 11

10 Memory Speed Storage type Access time Relative access time L1 cache 0.5 ns Blink of an eye L2 cache 7 ns 4 seconds 1MB from RAM 0.25 ms 5 days 1MB from SSD 1 ms 23 days HDD seek 10 ms 231 days 1MB from HDD 20 ms 1.25 years 12

11 THE CASE FOR SPINTRONIC ON-CHIP MEMORIES The combination of endurance, speed, non-volatility and density make spintronic memories suitable for on-chip applications Source: Toshiba Corp., IEDM 2012

12 CACHE MEMORIES: CMOS Prof. Kaushik Purdue Univ.

13 6-transistor CMOS SRAM Cell WL V DD M 2 M 4 Q M Q M 5 6 M 1 M 3 BL BL

14 CMOS SRAM Analysis (Read) WL BL V DD M 4 Q = 0 Q = 1 M 6 M 5 BL V DD M 1 V DD V DD C bit C bit

15 CMOS SRAM Analysis (Write) V DD WL M 4 Q = 0 M 6 M 5 Q = 1 M 1 V DD BL = 1 BL = 0

16 Memory Array

17 COLUMN SELECTION IN MEMORY WLx MC HS HS HS MC HS HS HS MC HS HS HS (a) Half selection during read/write operation in an SRAM array MC HS CELLS TO READ or WRITE HALF SELECTED CELLS (PSEUDO READ) WLx MC MC MC (b) No half selection problem in an STT-MRAM array Applicable to read and write operations in cache Identification of column address is important

18 Leakage Power in Cache Cache Utilization 30% of 30% L1 of Cache L1 Power 80% of L2 Cache 0.13µm process Cache is large leakage power consuming block in a high performance processor Source: Intel Solution : Put idle part of the cache in low leakage mode Prof. Kaushik Purdue Univ.

19 CACHE MEMORIES: NOISE MARGIN Prof. Kaushik Purdue Univ.

20 Transistor Leakage Across Different Dies Number of dies (150nm CMOS Measurements, 110 C) nominal corner worst-case corner 0 Source: Intel Normalized IOFF Substantial variation in leakage across dies 4X variation between nominal and worst-case leakage Performance determined at nominal leakage Robustness determined at worst-case leakage Prof. Kaushik Purdue Univ.

21 Random Dopant Fluctuation Global and Local Variations V t LOCAL LOCAL intra-die Vt GLOBAL GLOBAL Source: Intel inter-die V V V t t GLOBAL t LOCAL Prof. Kaushik Purdue Univ.

22 Parametric Failures: Read V TRIPRD V L = 1 NL NR BL BR Source: Intel + AXL PL - WL V R = 0 PR P P V V - + RF READ TRIPRD V READ WL V R =V READ AXR Time -> Read failure => Flipping of Cell Data while Reading Voltage Voltage WL V L Time -> V R V L Prof. Kaushik Purdue Univ.

23 Parametric Failures in SRAM WL AXL PL PR 1 0 NL NR AXR BL Source: Intel High-Vt Low-Vt Parametric failures Read Failures Write Failures Access Failures Hold Failures BR Read SNM (6T vs. 10T) Hold Noise Margin Read Noise Margin Write Margin Parametric failures can degrade SRAM yield Prof. Kaushik Purdue Univ.

24 Other SRAM Bit-Cells: Separating Read/Write WL WL WL BL 5T I. Calson et. al., ESSCIRC05 BL 6T BR BL BR W 7T K. Takeda et. al., ISSCC05 RWL RWL WWL WWL WBL WBR 8T (Register File) L. Chang et. al., VLSI Tech. 05 RBR WBL WBR 10T B. Calhoun et. al., ISSCC06 5T, 8T, 10T cells single ended. 8T/10T decoupled read and write operation. No in built process variation tolerance RBR Prof. Kaushik Purdue Univ.

25 STT MRAM: ON CHIP MEMORY Prof. Kaushik Purdue Univ.

26 Recent Inventions Giant Magneto Resistance (GMR) 1988: Peter Grunberg, Germany Albert Fert, France 2007: Nobel Prize (a) Spin Transfer Switching (STS) 1996: Slonczewski, US MgO Lateral Spin Valves (Local & Non-Local) 2008: Yang, Kimura, Otani 2009: Sun Memories: high density, stability, low read/write current, access time, zero leakage.. Logic (Boolean & Non-Boolean): Ultra low voltage switch, Neuromorphic computing, (all-spin logic no spin-charge conversion), i/p o/p isolation, zero leakage, Interconnects: Spin channel (short), Ultra low voltage swing for charge based int.

27 Energy Barrier Modulation: MOSFETs vs. Magnets Conventional Charge-based MOSFET (Bulk/SOI/FinFETS) Nano-Magnets with Electron Spin as State Variable The energy barrier in the active channel region can be modulated using: Doping Uniform Channel Doping Symmetric/Asymmetric Halo Doping Source Drain Doping Work Function and Material Engineering Gate Dielectric and Thickness (T OX ) Modulation t The energy barrier in magnets is defined as the product of anisotropy and volume (E B = K u2.v) The energy barrier in magnets can be modulated using: Shape and Interfacial magnetic Anisotropy (K u2 ) Volume of the nano-magnet (thickness (t) and cross-sectional area (A)) Saturation Magnetization (M SAT ) a b H Ku =4πM 2 SAT (ny -n x ) b b For =2,n x =0.32 and a n =0.90 y t

28 Changing the State of a Magnet External Magnetic Field» Current carrying wires, coils, etc.» Dipolar coupling».. Current Induced Spin-Transfer Torque (1996) 35

29 Magnetic Tunneling Junctions (MTJ) Anti-parallel orientation of magnets (AP) MgO FIXED LAYER FREE LAYER High resistance state (R AP ) Basics: Read Operation Parallel orientation of magnets (P) MgO FIXED FREE LAYER LAYER Low resistance state (R P ) R -R AP P TMR= 100 % R P Memory state is detected through difference in resistance using Sense Amplifier Current (ma) Electrical Characteristics of MTJ Current(P) Current(AP) slope=1/r AP Voltage (V) slope=1/r P R P =1kΩ R AP =2kΩ TMR=100 %

30 T 1 MTJ Basics: Spin-transfer torque induced write operation e e e e e e e e Electrical Current T 1 Electrical Current CoFe/Ru /CoFeB Pinned Ferromagnetic Layer (η~90%) CoFe/Ru /CoFeB MgO e e e e CoFe Tunneling Oxide Free Layer (η~50%) MgO CoFe T 2 T 2 AP P P AP e e e e e e e P AP magnetization switching is relatively difficult than AP P due to lower spin injection efficiency of the free layer

31 1-T, 1 R STT-MRAM bit line (BL) I read read I ref bias generator word line (WL) P-> AP AP-> P write source line (SL) Key Advantages: High Density (just 1 transistor per bit cell) Non-Volatility No leakage power in un-accessed cells No half-select problem Limitations: Write asymmetry Reliability limited write speed Write power Read/write conflict

32 EMBEDDED MRAM PROCESS : Integrated Magnetic Process Cross-Section Single MRAM bit cell Magnetic Stack Protection Layer Back-end MRAM module Front-end CMOS module Word line Transistor common Contact to MTJ Metal 4 contact stud in via stack Magnetic Pinning Layer Reference Layer Tunneling Barrier Free Layer Source: Everspin Technologies The multilayer of an MTJ stacking is prepared using sputtering and plasma oxidation

33 Bit-Cell Design using STT- MTJ

34 Design Challenge 1: Read and Write Failures in 1T-1R Bit-cell Structures Normalized MTJ Resistance I>I HL R P Write Failure I > I LH Applied voltage (V) Insufficient current to switch MTJ I WRITE < min (I LH, I HL ) Current (ma) I HL I LH READ Read Failure Current(P) Current(AP) 0.2 slope=1/r P R P =1kΩ -0.4 slope=1/r AP R AP =2kΩ -0.6 TMR=100 % Voltage (V) Insufficient TMR to distinguish between R AP and R P # of occurrences Write 0 failure Write 0 to 1 Write 1 to 0 0 to 1 threshold current 1 to 0 threshold current Write 1 failure Write current ( Χ10-3 A ) Process variations reduce memory yield # of occurrences σ/μ=5% in MTJ Area and MgO thickness 0 Read 1 current Read 0 current Reference current Read 1 Read 0 decision decision failure failure Read current ( Χ10-4 A )

35 Design Challenge 2: Read-Write Conflict WL BL R MTJ WRITE 1 Current (I LH ) Current (I HL ) MTJ Resistance (normalized) I>I HL R AP R P I>I LH WL BL R Current (I READ ) SL WRITE Applied voltage (V) Read Disturb Failure (under process variations) SL READ # of occurrences Read 1 current Read 0 current 1 to 0 threshold current Read 1 disturbance failure I READ and I HL have same polarity Read operation can cause unintended write (Read Disturb) Read current ( Χ10-4 A ) σ/μ=5% in MTJ Area and MgO thickness

36 Design Challenge3: Stray Fields and its Impacts on MTJ Stack Aggressor MTJ Free Layer Aggressor MTJ MgO H STRAY MgO Victim MTJ MgO Aggressor MTJ MgO Aggressor MTJ P to AP switching is opposed by stray magnetic field (H STRAY ) Normalized Delay MgO Fixed Layer H K =75 Oe H K =100 Oe H K =150 Oe H stray (Oe) WRITE time increases for higher stray fields write failures

37 Design Challenge 4: Stochastic Switching Behavior due to Thermal Fluctuations Angular precession with different T Life-time (in years) Life-time decreases exponentially with temperature Temperature (K) Thermally induced initial magnetic oscillations are stochastic in nature (white noise) STT switching delay distribution has a longer tail, degrading memory yield Higher temperature helps in squeezing the switching delay distribution but degrades thermal stability

38 STT-MTJ Stacks: Stability, Read/Write Conflicts, Write power,..

39 STT-MRAM Design Issues WL I WR ( 1 ) Shared Source Read/Write Degeneration Current Paths SL BL V X > 0 V GS < V DD WL SL BL I WR ( 0 ) WL BL WL I WR ( 0 ) WL I RD SL BL BL SL BL SL May require access transistors to be upsized to meet I C requirements at fixed V DD Conflicting read and write current requirements Leads to excessive I WRITE for writing the opposite Limited design direction space WL SL

40 Four Types of STT-MTJ Stacks Memory performance metrics: Writability, Readability and Stability (a) MgO Free Layer Fixed Layer Ru MgO (b) (c) MgO (d) MgO MgO MgO MgO Single Barrier Ferromagnetic Free Layer (SBFF) Dual Barrier Single Barrier Ferromagnetic Synthetic Free Layer (DBFF) AntiFerromagnetic Free Layer (SBSAFF) Dual Barrier Synthetic AntiFerromagnetic Free Layer (DBSAFF) Writability Readability Stability Augustine et al., TED 58, 12,

41 Bit-Cell Configuration

42 Design Solutions: Circuit and Architecture Optimization Wordline (WL) Bitline (BL) Read-Wordline (WL_r) Write-Wordline (WL_w) BL Wordline (WL) Bitline (BL) R MTJ R MTJ driver transistor Sourceline (SL) Sizing of driver transistor Read- NMOS R MTJ SL Write-NMOS Sizing of read and write transistors Sourceline (SL) Stretched Write Cycle (SWC) based Architecture Optimize bit-cell for read Read : One cycle Write : Two cycle Circuit Optimization Circuit and Architecture Optimization

43 Bit-Cell Design: Summary Bit Cell Write Energy (Normalized wrt 1T-1R without variations) T-1R worst case design (under variations) 1T-1R (w/o variations) 1T-1R optimized (under variations) area overhead (5.4%) area overhead (9%) 2T-1R optimized (under variations) throughput reduction (3%) 1T-1R with SWC (under variations) SWC provide lowest energy for iso-failure probability with minor throughput degradation Augustine et al., IEEE Sensors J. 12, 4, 2012

44 Other MTJ Configurations: Multi-Terminal

45 Multi-terminal STT-MRAM Structures Dual-pillar Spin-Transfer Torque MRAM (DPSTT) Complementary Polarizers STT-MRAM (CPSTT) WL SL RBL Read Port Pinned Layer Tunneling Oxide BL Free Layer Tunneling Oxide Free Layer WL Pinned Layers ATxL ATxR Write Port Pinned Layer WBL Tunneling Oxide SLL SLR [1] N. N. Mojumder, K. Roy, TED vol. 59, no. 11, pp , 2012 [2] X. Fong, K. Roy, EDL vol. 34, no. 2, pp , 2013

46 Write Operations WL SL I WR ( 0 ) RBL BL I WR ( 0 ) I WR ( 1 ) T OX2 WL T OX1 I WR ( 1 ) WBL SLL SLR T OX2 > T OX1 I WR ( 0 ): V SL =0, V WL =V WBL =V RBL =V DD I WR ( 1 ): V SL =V DD, V WL =V WBL =V RBL =0 I WR ( 0 ): V SLL =0, V WL =V BL =V SLR =V DD I WR ( 1 ): V SLR =0, V WL =V BL =V SLL =V DD

47 Read / Sensing Operations WL SL I RD RBL I RDL BL I RDR WL WBL I REF = 0.5 {I RD ( 0 )+I RD ( 1 )} V WL =V DD,V SL =V WBL =0, V RBL =V READ 0 : I RD ( 0 ) > I REF 1 : I RD ( 1 ) < I REF SLL V BL =0, V WL =V DD,V SLL =V SLR =V READ 0 : I RDL > I RDR 1 : I RDL < I RDR Differential sensing Self-referencing SLR

48 Simulation Framework (a) RA ( - m 2 ) E F =2.25eV, E B =0.865eV, =0.315eV, m FM =0.748, m OX =0.462, a=3e-10, T=20K, V MTJ =10mV Anti-parallel Parallel t MgO (nm) (b) RA MTJ ( - m 2 ) NEGF (AP) NEGF (P) Data (AP) Data (P) V MTJ (V) SPICE MTJ Model (c) R MTJ (k ) Standard Reversed R MTJ (k ) Standard Reversed Time (ns) Time (ns) a) S. Yuasa et al., Nature Materials vol. 3, no. 12, pp , Dec b) C. J. Lin et al., IEDM, Dec. 2009, pp c) T. Kishi et al., IEDM, Dec. 2008, pp Device level simulation results may be calibrated to experimental data Device parameters are imported into SPICE model for circuit level simulations NEGF: D. Datta et al., TNANO 11, 2, 2012 LLG: J. Z. Sun, PRB 62, 1, 2000

49 NanoHub.org SPICE Model for MTJ 60

50 STT-MRAM: LAST LEVEL CACHE Drop-in replacement of SRAM with STT- MRAM for LLC leads to improvement in capacity and leakage, but higher latency and active energy Circuit and architectural techniques can greatly improve the efficiency of spinbased caches Normalized Latency Norm. Active Energy Higher Latency Read Higher Active Energy Read Write Write Normalized Power Leakage Lower Leakage SRAM Park, Raghunathan, Roy; DAC 12 STT-MRAM Annual Review, Sept 26, MB 6T SRAM 8MB STT MRAM 8MB STT MRAM (Tilted Magnetic Anisotropy)

51 System Level Implication of STT MRAM Last Level Caches Instructions per Cycle T SRAM (2MB) STT: Conv. Std (8MB) STT: TMA (8MB) Integer Floating Point SPEC 2000 Benchmarks Total Energy Consumption (J) T SRAM (2MB) STT: Std Conv. (8MB) STT: TMA (8MB) 10 9 Cycles Avg. CU = 2.2% Max. CU = 13% 0 Leakage Only 2% 10% Cache Utilization (CU) Compared to SRAM cache STT MRAM caches offer Higher throughput due to larger integration density and lower cache miss rate Lower Energy due to low leakage and low utilization S. P. Park et al, DAC

52 ROM-Embedded MRAM

53 Read Only Memory Embedded MRAM Cell Area = max(0.5w N +4λ, 14λ) 16λ Via for ROM Programming MTJ Active Row (i-1) Poly Row (i) M1 M2 I READ1 I READ0 ROM Data BL0 BL1 Row (i+1) Pre-charge circuit Col (i-1) Col (i)col (i+1) M3 SL M1 BL0 M3 BL1 M3 WL - Poly WL1 WL2 WL3 SL SA1 V REF1 - A + - A + V OUT1 Lee, Fong, Roy, Rom Embedded MRAM, EDL 2013 V REF0 V OUT0 SA0 Read-Only Memory (ROM) may be embedded with little area overhead. Embedded ROM can be used to accelerate function evaluations (e.g.math.) No performance penalty to Random Access Memory (RAM) mode.

54 Application of ROM Embedded MRAM Transcendental Function Evaluation For evaluating log (x) For 200 Function Calls SRAM R-MRAM 1 Normalized Execution time No. of Function calls Normalized Execution Time Log Sin SRAM R-MRAM Emulation of a Neural Network (NN) shows 15% faster runtime Fong et. al, under preparation

55 Other MTJ Configurations

56 Differential Spin Hall MRAM READ MTJ1 WRITE 0 β W m1 m2 m1 and m2 are anti parallel WRITE 1 Energy efficient write Spin injection efficiency > 100 % Low resistance in write current path No tunneling barrier reliability 10X lower write energy compared to 1T1R STT MRAM MTJ1 SHM MTJ2 MgO PL FL MgO FL PL READ MTJ2 High speed differential read No need for a reference cell 2X signal margin 1.6X faster read speed compared to single ended reading Y Kim, SH Choday, K Roy, EDL 2013 Annual Review, Sept 26,

57 Multi-Level/Multi-Bit Memories

58 Domain-wall Magnet bit-cell WWL T1 RWL Fixed Free T2 GND Fixed BLB BL 1-bit DWM bit-cell with DW shift based write Write Current (ua) Low-current and fast switching of PMA DWM can facilitate low energy and high speed bit-cell design DWM: T=3nm DWM: T=6nm DWM: T=9nm MTJ: P >AP MTJ: AP >P Write Time (ns) The proposed DWM bit cell can be employed in even L1 caches, where the higher write latency and energy requirements have precluded the use of spin-based memories. Multi-bit DWM tape with DW-shift write Fukami et al., VLSIT, 2009 M. Sharad et al., ISLPED, PMA DW shift based write can also achieve low energy and fast write operation for multibit memory.

59 Multi-Level Bit-Cells Device structures with decoupled read-write paths facilitate parallel combination of free layers for multi-level read 4-level bit-cell using NL-STT 4-level bit-cell using DWM Multiple write thresholds come from varying free layer thickness, & read levels form different T ox values Multi-level bit-cells can compensate for the area penalty resulting from two access transistors as compared to just one in 1-T, 1R STT-MRAM 4-level, 8 level DMB bit cell vs 1T,1R STT-MRAM

60 Interconnects

61 STT based Energy Efficient Global Interconnects Motivation: Global Interconnects like data-buses and memory bit-lines can account for more than 90% power for on-chip memory Emerging CMPs may dissipate ~50% power for global communication among memory and multiple processors Technology/circuit solutions: On-chip transmission line CMOS Current-mode interconnects Low voltage swing Optical, plasmonic, graphene, CNT Our goals and approaches: Emerging spin-torque phenomena, like Spin Hall Effect (SHE), may lead to highspeed, low-voltage current-mode switches based on nano-scale magnets. We propose and analyze spin-torque switches in the design of energy-efficient and high-performance current-mode on-chip global-interconnects. Simulations show up to two order of magnitude higher energy-efficiency 76

62 m3 oxide 3nm d3 d2 Interconnects Using Spin Torque Switches DW 60nm data data V+ V M1 M2 I in Cu-interconnect spin-switch reference MTJ gnd DW V- V 20nm d1 d2 d3 d1 transmitter receiver (a) (b) signaling-current Interconnect design using domain wall switch Global interconnects may account for large portions of total power in future processors. Emerging STT phenomena may facilitate high speed (100fs), low voltage current mode magnet switching along with efficient transimpedance conversion. V o V d V For 2Gbps signaling over a 10mm on chip interconnect: Conventional CMOS: Voltage mode: 0.5CV 2 = 2.5pfx = 150fJ Current mode: 90fJ S. Lee et al., ISSCC 2013 Spintronic Current mode: E signaling = I switch T bit V + I MTJ V MTJ T bit + T bit (P inverter + P driver ) = (20µA x 0.5ns x 100mV ) +(0.7µA x 0.6V x 0.5ns) + (0.5ns x 0.7µW) ~1fJ Sharad, Roy, EDL., 2013 Sharad, Roy, IEDM, 2013 STT switches can be employed for ultra low energy and compact current mode global interconnects

63 Spin Transfer Torque MRAM (Our work) 1. J. Li, P. Ndai, A. Goel, S. Salahuddin, and K. Roy, "Design Paradigm for Robust Spin-Torque Transfer Magnetic RAM (STT MRAM) from Circuit /Architecture Perspective," IEEE TVLSI, N. Mojumder, S. Gupta, S. H. Choday, D. Nikonov, and K. Roy, "A Three Terminal Dual Pillar Spin-Transfer Torque (STT) MRAM for High Performance, Robust Memory Applications," IEEE TED, C. Augustine, A. Raychowdhury, D. Somsekhar, J. Tschanz, V. De and K. Roy, Design Space Exploration of Typical STT MTJ Stacks in Memory Arrays in the Presence of Variability and Disturbances, IEEE TED, X. Fong, S.H. Choday, and K. Roy, "Bit-Cell Level Optimization for Non-volatile Memories Using Magnetic Tunnel Junctions and Spin-Transfer Torque Switching," IEEE TNANO, 2012,. 5. S. K. Gupta, S-P. Park, N. N. Mojumder and K. Roy, "Layout-Aware Optimization of STT MRAMs," in Proc. DATE, G. Panagopoulos, C. Augustine, X. Fong, and K. Roy, "Exploring Variability and Reliability of Multi-Level STT- MRAM Cells," DRC, Y. Kim, S. Gupta, S. Park, G. Panagopoulos, and K. Roy, "Write-Optimized Reliable Design of STT MRAM," ACM/IEEE ISLPED, R. Venkatesan, V. Kozhikkottu, C. Augustine, A. Raychowdhury, K. Roy, and A. Raghunathan, "TapeCache: A High Density, Energy Efficient Cache Based on Domain Wall Memory," ACM/IEEE ISLPED, Y. Kim, S. H. Choday, and K. Roy, "DSH-MRAM: Differential spin Hall MRAM for on-chip memories," IEEE EDL, X. Fong, S. Gupta, N. Mojumder, S H. Choday, and K. Roy, "KNACK: A Hybrid Spin-Charge Mixed-Mode Simulator for Evaluating Different Genres of STT-MRAMs," IEEE SISPAD, G. Panagopoulos, C. Augustine, and K. Roy, "A Framework for Simulating Hybrid MTJ/CMOS circuits: Atoms to System Approach," IEEE DATE, 2012.

Kaushik Roy Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN https://engineering.purdue.edu/nrl/index.

Kaushik Roy Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN https://engineering.purdue.edu/nrl/index. Beyond Charge-Based Computing: STT- MRAMs Kaushik Roy Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN https://engineering.purdue.edu/nrl/index.html 1 Failure probability

More information

BEYOND CHARGE-BASED COMPUTING

BEYOND CHARGE-BASED COMPUTING BEYOND CHARGE-BASED COMPUTING KAUSHIK ROY MRIGANK SHARAD, DELIANG FAN, KARTHIK YOGENDRA, CHARLES AUGUSTINE, GEORGE PANAGOPOULOS, XUANYAO FONG ELECTRICAL & COMPUTER ENGINEERING PURDUE UNIVERSITY WEST LAFAYETTE,

More information

NEUROMORPHIC COMPUTING WITH MAGNETO-METALLIC NEURONS & SYNAPSES: PROSPECTS AND PERSPECTIVES

NEUROMORPHIC COMPUTING WITH MAGNETO-METALLIC NEURONS & SYNAPSES: PROSPECTS AND PERSPECTIVES NEUROMORPHIC COMPUTING WITH MAGNETO-METALLIC NEURONS & SYNAPSES: PROSPECTS AND PERSPECTIVES KAUSHIK ROY ABHRONIL SENGUPTA, KARTHIK YOGENDRA, DELIANG FAN, SYED SARWAR, PRIYA PANDA, GOPAL SRINIVASAN, JASON

More information

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Mahendra Pakala, Silicon Systems Group, AMAT Dec 16 th, 2014 AVS 2014 *All data in presentation is internal Applied generated data

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

Author : Fabrice BERNARD-GRANGER September 18 th, 2014

Author : Fabrice BERNARD-GRANGER September 18 th, 2014 Author : September 18 th, 2014 Spintronic Introduction Spintronic Design Flow and Compact Modelling Process Variation and Design Impact Semiconductor Devices Characterisation Seminar 2 Spintronic Introduction

More information

An Overview of Spin-based Integrated Circuits

An Overview of Spin-based Integrated Circuits ASP-DAC 2014 An Overview of Spin-based Integrated Circuits Wang Kang, Weisheng Zhao, Zhaohao Wang, Jacques-Olivier Klein, Yue Zhang, Djaafar Chabi, Youguang Zhang, Dafiné Ravelosona, and Claude Chappert

More information

Lecture 6 NEW TYPES OF MEMORY

Lecture 6 NEW TYPES OF MEMORY Lecture 6 NEW TYPES OF MEMORY Memory Logic needs memory to function (efficiently) Current memories Volatile memory SRAM DRAM Non-volatile memory (Flash) Emerging memories Phase-change memory STT-MRAM (Ferroelectric

More information

arxiv: v1 [physics.app-ph] 1 May 2017

arxiv: v1 [physics.app-ph] 1 May 2017 Magnetic Skyrmions for Cache Memory Mei-Chin Chen 1 and Kaushik Roy 1 1 School of Electrical and Computer Engineering, Purdue University, West Lafayette, 47906, USA * chen1320@purdue.edu ABSTRACT arxiv:1705.01095v1

More information

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies Model download website: mtj.umn.edu Jongyeon Kim 1, An Chen 2, Behtash Behin-Aein 2, Saurabh Kumar 1,

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology From Spin Torque Random Access Memory to Spintronic Memristor Xiaobin Wang Seagate Technology Contents Spin Torque Random Access Memory: dynamics characterization, device scale down challenges and opportunities

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

This document is an author-formatted work. The definitive version for citation appears as:

This document is an author-formatted work. The definitive version for citation appears as: This document is an author-formatted work. The definitive version for citation appears as: A. Roohi, R. Zand, D. Fan and R. F. DeMara, "Voltage-based Concatenatable Full Adder using Spin Hall Effect Switching,"

More information

Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY

Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY Magnetic Tunnel Junctions (MTJs) Structure High density memory

More information

SPINTRONICS: FROM DEVICES TO SYSTEMS. Rangharajan Venkatesan, Kaushik Roy, Anand Raghunathan School of Electrical and Computer Engineering

SPINTRONICS: FROM DEVICES TO SYSTEMS. Rangharajan Venkatesan, Kaushik Roy, Anand Raghunathan School of Electrical and Computer Engineering SPINTRONICS: FROM DEVICES TO SYSTEMS Rangharajan Venkatesan, Kaushik Roy, Anand Raghunathan School of Electrical and Computer Engineering OUTLINE Introduction to Spintronics Spintronic memories devices,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Center for Spintronic Materials, Interfaces, and Novel Architectures. Spintronics Enabled Efficient Neuromorphic Computing: Prospects and Perspectives

Center for Spintronic Materials, Interfaces, and Novel Architectures. Spintronics Enabled Efficient Neuromorphic Computing: Prospects and Perspectives Center for Spintronic Materials, Interfaces, and Novel Architectures Spintronics Enabled Efficient Neuromorphic Computing: Prospects and Perspectives KAUSHIK ROY ABHRONIL SENGUPTA, KARTHIK YOGENDRA, DELIANG

More information

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications?

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications? Advanced Topics In Solid State Devices EE290B Will a New Milli-Volt Switch Replace the Transistor for Digital Applications? August 28, 2007 Prof. Eli Yablonovitch Electrical Engineering & Computer Sciences

More information

Moore s Law Technology Scaling and CMOS

Moore s Law Technology Scaling and CMOS Design Challenges in Digital High Performance Circuits Outline Manoj achdev Dept. of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Power truggle ummary Moore s Law

More information

And device degradation. Slide 1

And device degradation. Slide 1 And device degradation Slide 1 Z. Guo, ISSSCC 2018 Inter-die Variation & Cell Failures Failures in SRAM Array Overall Cell Failure: [ ] P = P Fail = P A! R! W! H F F F F F P MEM Redundant Columns PASS

More information

Page 1. A portion of this study was supported by NEDO.

Page 1. A portion of this study was supported by NEDO. MRAM : Materials and Devices Current-induced Domain Wall Motion High-speed MRAM N. Ishiwata NEC Corporation Page 1 A portion of this study was supported by NEDO. Outline Introduction Positioning and direction

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control [MWSCAS2007] Aug. 7, 2007 Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control Masaaki Iijima, Kayoko Seto, Masahiro Numa, *Akira Tada, *Takashi Ipposhi Kobe University,

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology

A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology M. Kim 1, J. Kim 1, G. Park 1, L. Everson 1, H. Kim 1, S. Song 1,2,

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

A Perpendicular Spin Torque Switching based MRAM for the 28 nm Technology Node

A Perpendicular Spin Torque Switching based MRAM for the 28 nm Technology Node A Perpendicular Spin Torque Switching based MRAM for the 28 nm Technology Node U.K. Klostermann 1, M. Angerbauer 1, U. Grüning 1, F. Kreupl 1, M. Rührig 2, F. Dahmani 3, M. Kund 1, G. Müller 1 1 Qimonda

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU SPICE odeling of STT-RA for Resilient Design Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU OUTLINE - 2 - Heterogeneous emory Design A Promising Candidate:

More information

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS

Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Self-Repairing and Self-Calibration: A Design/Test Strategy for Nano-scale CMOS Kaushik Roy S. Mukhopadhyay, H. Mahmoodi, A. Raychowdhury, Chris Kim, S. Ghosh, K. Kang School of Electrical and Computer

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

MESL: Proposal for a Non-volatile Cascadable Magneto-Electric Spin Logic

MESL: Proposal for a Non-volatile Cascadable Magneto-Electric Spin Logic MESL: Proposal for a Non-volatile Cascadable Magneto-Electric Spin Logic Akhilesh Jaiswal 1,, and Kaushik Roy 1 1 School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, 47907,

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Low Energy Spin Transfer Torque RAM (STT-RAM / SPRAM) Zach Foresta April 23, 2009

Low Energy Spin Transfer Torque RAM (STT-RAM / SPRAM) Zach Foresta April 23, 2009 Low Energy Spin Transfer Torque RAM (STT-RAM / SPRAM) Zach Foresta April 23, 2009 Overview Background A brief history GMR and why it occurs TMR structure What is spin transfer? A novel device A future

More information

Memory Trend. Memory Architectures The Memory Core Periphery

Memory Trend. Memory Architectures The Memory Core Periphery Semiconductor Memories: an Introduction ti Talk Overview Memory Trend Memory Classification Memory Architectures The Memory Core Periphery Reliability Semiconductor Memory Trends (up to the 90 s) Memory

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Spin-Based Logic and Memory Technologies for Low-Power Systems

Spin-Based Logic and Memory Technologies for Low-Power Systems Spin-Based Logic and Memory Technologies for Low-Power Systems A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Jongyeon Kim IN PARTIAL FULFILLMENT OF THE

More information

Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction

Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction November 3-4, 2011 Berkeley, CA, USA Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction Hideo Ohno 1,2 1 Center for Spintronics Integrated Systems, Tohoku University, Japan 2 Laboratory for Nanoelectronics

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

From Hall Effect to TMR

From Hall Effect to TMR From Hall Effect to TMR 1 Abstract This paper compares the century old Hall effect technology to xmr technologies, specifically TMR (Tunnel Magneto-Resistance) from Crocus Technology. It covers the various

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Mesoscopic Spintronics

Mesoscopic Spintronics Mesoscopic Spintronics Taro WAKAMURA (Université Paris-Sud) Lecture 1 Today s Topics 1.1 History of Spintronics 1.2 Fudamentals in Spintronics Spin-dependent transport GMR and TMR effect Spin injection

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

A design methodology and device/circuit/ architecture compatible simulation framework for low-power magnetic quantum cellular automata systems

A design methodology and device/circuit/ architecture compatible simulation framework for low-power magnetic quantum cellular automata systems Purdue University Purdue e-pubs Department of Electrical and Computer Engineering Faculty Publications Department of Electrical and Computer Engineering January 2009 A design methodology and device/circuit/

More information

Quantum Transport Simula0on: A few case studies where it is necessary

Quantum Transport Simula0on: A few case studies where it is necessary Quantum Transport Simula0on: A few case studies where it is necessary Sayeef Salahuddin Laboratory for Emerging and Exploratory Devices (LEED) EECS, UC Berkeley sayeef@eecs.berkeley.edu The celebrated

More information

Design of robust spin-transfer torque magnetic random access memories for ultralow power high performance on-chip cache applications

Design of robust spin-transfer torque magnetic random access memories for ultralow power high performance on-chip cache applications Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Summer 2014 Design of robust spin-transfer torque magnetic random access memories for ultralow power high performance

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Nanoelectronics 12. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture

Nanoelectronics 12. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture Nanoelectronics 12 Atsufumi Hirohata Department of Electronics 09:00 Tuesday, 20/February/2018 (P/T 005) Quick Review over the Last Lecture Origin of magnetism : ( Circular current ) is equivalent to a

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

RE-ENGINEERING COMPUTING WITH NEURO- MIMETIC DEVICES, CIRCUITS, AND ALGORITHMS

RE-ENGINEERING COMPUTING WITH NEURO- MIMETIC DEVICES, CIRCUITS, AND ALGORITHMS RE-ENGINEERING COMPUTING WITH NEURO- MIMETIC DEVICES, CIRCUITS, AND ALGORITHMS Kaushik Roy Abhronil Sengupta, Gopal Srinivasan, Aayush Ankit, Priya Panda, Xuanyao Fong, Deliang Fan, Jason Allred School

More information

New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM)

New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM) New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM) Hiroaki Yoda Corporate Research & Development Center, Toshiba

More information

Process-Tolerant Low-Power Design for the Nano-meter Regime

Process-Tolerant Low-Power Design for the Nano-meter Regime Process-Tolerant Low-Power Design for the Nano-meter Regime Kaushik Roy Electrical & Computer Engineering Purdue University Exponential Increase in Leakage 1970 1980 2000 2010 2020 5 µm 1 µm 100 nm 10

More information

Spintronics. Seminar report SUBMITTED TO: SUBMITTED BY:

Spintronics.  Seminar report SUBMITTED TO: SUBMITTED BY: A Seminar report On Spintronics Submitted in partial fulfillment of the requirement for the award of degree of Electronics SUBMITTED TO: SUBMITTED BY: www.studymafia.org www.studymafia.org Preface I have

More information

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages) EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 12: SRAM Design ECC Timing Announcements Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

MRAM: Device Basics and Emerging Technologies

MRAM: Device Basics and Emerging Technologies MRAM: Device Basics and Emerging Technologies Matthew R. Pufall National Institute of Standards and Technology 325 Broadway, Boulder CO 80305-3337 Phone: +1-303-497-5206 FAX: +1-303-497-7364 E-mail: pufall@boulder.nist.gov

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Low Energy SPRAM. Figure 1 Spin valve GMR device hysteresis curve showing states of parallel (P)/anti-parallel (AP) poles,

Low Energy SPRAM. Figure 1 Spin valve GMR device hysteresis curve showing states of parallel (P)/anti-parallel (AP) poles, Zachary Foresta Nanoscale Electronics 04-22-2009 Low Energy SPRAM Introduction The concept of spin transfer was proposed by Slonczewski [1] and Berger [2] in 1996. They stated that when a current of polarized

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr.

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr. DRAM & SRAM Design Random Access Memory Volatile memory Random access is possible if you know the address DRAM DRAM Dynamic Random Access Memory SRAM Static Random Access Memory SRAM Cell Structure Power

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Mon., Feb. 04 & Wed., Feb. 06, A few more instructive slides related to GMR and GMR sensors

Mon., Feb. 04 & Wed., Feb. 06, A few more instructive slides related to GMR and GMR sensors Mon., Feb. 04 & Wed., Feb. 06, 2013 A few more instructive slides related to GMR and GMR sensors Oscillating sign of Interlayer Exchange Coupling between two FM films separated by Ruthenium spacers of

More information

ECE-305: Fall 2017 MOS Capacitors and Transistors

ECE-305: Fall 2017 MOS Capacitors and Transistors ECE-305: Fall 2017 MOS Capacitors and Transistors Pierret, Semiconductor Device Fundamentals (SDF) Chapters 15+16 (pp. 525-530, 563-599) Professor Peter Bermel Electrical and Computer Engineering Purdue

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability

Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability Design/Technology Co-Optimisation (DTCO) in the Presence of Acute Variability A. Asenov 1,2, E. A. Towie 1!! 1 Gold Standard Simulations Ltd 2 Glasgow University! Summary!! Introduction!! FinFET complexity

More information

Delay and Energy Consumption Analysis of Conventional SRAM

Delay and Energy Consumption Analysis of Conventional SRAM World Academy of Science, Engineering and Technology 13 8 Delay and Energy Consumption Analysis of Conventional SAM Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, and Ali Barati Abstract

More information

Embedded MRAM Technology For logic VLSI Application

Embedded MRAM Technology For logic VLSI Application 2011 11th Non-Volatile Memory Technology Symposium Embedded MRAM Technology For logic VLSI Application November 7, 2011 Naoki Kasai 1, Shoji Ikeda 1,2, Takahiro Hanyu 1,3, Tetsuo Endoh 1,4, and Hideo Ohno

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

introduction: what is spin-electronics?

introduction: what is spin-electronics? Spin-dependent transport in layered magnetic metals Patrick Bruno Max-Planck-Institut für Mikrostrukturphysik, Halle, Germany Summary: introduction: what is spin-electronics giant magnetoresistance (GMR)

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Enhanced spin orbit torques by oxygen incorporation in tungsten films

Enhanced spin orbit torques by oxygen incorporation in tungsten films Enhanced spin orbit torques by oxygen incorporation in tungsten films Timothy Phung IBM Almaden Research Center, San Jose, California, USA 1 Motivation: Memory devices based on spin currents Spin Transfer

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

A Leakage Control System for Thermal Stability During Burn-In Test

A Leakage Control System for Thermal Stability During Burn-In Test A Leakage Control System for Thermal Stability During Burn-In Test Mesut Meterelliyoz, Hamid Mahmoodi, and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Directions for simulation of beyond-cmos devices. Dmitri Nikonov, George Bourianoff, Mark Stettler

Directions for simulation of beyond-cmos devices. Dmitri Nikonov, George Bourianoff, Mark Stettler Directions for simulation of beyond-cmos devices Dmitri Nikonov, George Bourianoff, Mark Stettler Outline Challenges and responses in nanoelectronic simulation Limits for electronic devices and motivation

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information