EE C245 ME C218 Introduction to MEMS Design

Size: px
Start display at page:

Download "EE C245 ME C218 Introduction to MEMS Design"

Transcription

1 EE C245 ME C218 Introduction to MEMS Desin Fall 2008 Prof. Clark T.-C. Nuyen Dept. of Electrical Enineerin & Computer Sciences University of California at Berkeley Berkeley, CA Lecture 5: Process Modules II: Film Deposition, Lithoraphy EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 1

2 Announcements Discussion Section: now on Mondays, 5:30-6:30 p.m., in 3109 Etcheverry Reminder: TA office hours are bein held in the Moore Room in the courtyard of Cory Hall. You miht want to update this in your course information sheet. EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 2

3 Lecture Outline Readin: Senturia, Chpt. 3; Jaeer, Chpt. 2, 3, 6 Example MEMS fabrication processes Film Deposition Evaporation Sputter deposition Chemical vapor deposition (CVD) Plasma enhanced chemical vapor deposition (PECVD) Epitaxy Atomic layer deposition (ALD) Electroplatin Lithoraphy EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 3

4 Thin Film Deposition EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 4

5 Thin Film Deposition Methods for film deposition: Evaporation Sputter deposition i Chemical vapor deposition (CVD) Plasma enhanced chemical vapor deposition (PECVD) Epitaxy Electroplatin Atomic layer deposition (ALD) Evaporation: Heat a metal (Al,Au) to the point of vaporization Evaporate to form a thin film coverin the surface of the Si wafer Done under vacuum for better control of film composition EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 5

6 Evaporation Filament Evaporation System: W filament + - wafer Al staples 1. Pump down to vacuum reduces film contamination and allows better thickness control 2. Heat W filament melt Al, wet filament 3. Raise temperature evaporate Al mean free path Vacuum Pump = λ = kt 2π Pd k = Boltzmann Constant T = temperature P = pressure d = diameter of as molecule 2 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 6

7 Evaporation (cont.) λ can be ~60m for a 4Å particle at 10-4 Pa (-0.75 μtorr) thus, at 0.75 μtorr, et straiht line path from Al staple filament to wafer Problem: Shadowin & Step Coverae Get an open Source Problem: line of siht deposition Solns: i. Rotate water durin Source evaporation ii. Etch more radual sidewalls Better Solution: foret evaporation sputter deposit the film! EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 7

8 Sputter Deposition Use an eneretic plasma to dislode atoms from a material taret, allowin the atoms to settle on the wafer surface Not as low a Taret vacuum as evaporation Ar+ Ar+ (~100 Pa) (750 mtorr) plasma (Al, SiO 2, Si 2 N 4, ZnO, Ti, ) Vacuum Pump wafer EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 8

9 Step-by-step procedure: 1. Pump down to vacuum Sputter Deposition Process (~ 100 Pa) 1Pa = mtorr 760 Torr atm = Torr atm 2. Flow as (e.., Ar) 3. Fire up plasma (create Ar+ ions) apply dc-bias (or RF for non-conductive tarets) t 4. Ar+ ions bombard taret (dislode atoms) 5. Atoms make their way to the wafer in a more random fashion, since at this hiher pressure, λ ~60μm for a 4Å particle; plus, the taret is much bier Result: better step coverae! EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 9

10 Problems With Sputterin 1. Get some Ar in the film 2. Substrate can heat up up to ~350 o C, causin nonuniformity across the wafer but it still is more uniform than evaporation! 3. Stress can be controlled by chanin parameters (e.., flow rate, plasma power) from pass to pass, but repeatability is an issue Solution: use Chemical Vapor Deposition (CVD) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 10

11 Chemical Vapor Deposition (CVD) Even better conformity than sputterin Form thin films on the surface of the substrate by thermal decomposition and/or reaction of aseous compounds Desired material is deposited directly from the as phase onto the surface of the substrate Can be performed at pressures for which h λ (i.e., the mean free path) for as molecules is small This, combined with relatively hih temperature leads to Excellent Conformal Step Coverae! Types of films: polysilicon, SiO 2, silicon nitride, SiGe, Tunsten (W), Molybdenum (M), Tantalum (Ta), Titanium (Ti), EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 11

12 The CVD Process Reactant as (+ inert dilutin ases) are introduced into the reaction chamber (a) Gas species move to the substrate Gas Flow Gas Stream Atoms mirate and react chemically to form films This determines the ultimate t conformality of the film (i.e., determines step coverae) (b) (e) Reactants adsorb onto the substrate (e) (d) (c)(d) ) Wafer Reaction by-products desorbed d from surface Enery required to drive reactions supplied by several methods: Thermal (i.e., heat), photons, electrons (i.e., plasma) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 12

13 Ga pr as phase rocesses The CVD Process (cont.) Step-by-Step CVD Sequence: a) Reactant ases (+ inert dilutin ases) are introduced d into reaction chamber b) Gas species move to the substrate c) Reactants adsorbed onto the substrate d) Atoms mirate and react chemically to form films This determines to a lare extent whether or not a film is conformal (i.e.. better step coverae) Surf face proce esses Not Conformal Conformal low T Hih T not enouh adatom miration Plenty of adatom miration e) Reaction by-products desorbed and removed from reaction chamber EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 13

14 CVD Modelin Simplified Schematic: N J surface δ J s N s Governin Equations: J J s = k N k s D s N = conc. of reactant molecules in the as stream N s = conc. of reactant molecules at the surface J s = flux of as molecules at the surface J = flux of molecules diffusin in from the as stream Effective diffusion const. for the as molecule [ s = surface reaction rate const.] = ( N ) ( ) N s = h N N Vapor phase mass δ s Vapor phase masstransfer coefficient EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 14

15 CVD Modelin (cont.) J h [ ] = = = s s s s k J N J J J, s s k J h N h k J N h J = = s Otherwise reactants will build up somewhere! ( ) s N h k N h k J N h h J 1 p ( ) s s s s N h k N h k J N h k J = + = = 1+ N J = = unit volume # molecules incorporated flux rowth rate N unit volume # molecules incorporated ( ) rate rowth = = = = N h k N h k J s EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 15 ( ) rate rowth = = + = = N h k N h k N s s

16 CVD Modelin (cont.) Case: k s >> h surface reaction rate >> mass transfer rate rowth rate = h N N (mass-transfer-limited) Case: h >> k s mass transfer rate >> surface reaction rate rowth rate = k s N N (surface-reaction-limited) reaction E a R o kt ~ (Arrhenius character) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 16

17 Temperature Dependence of CVD lo (rowth rate) Mass Transport Limited Reime Reaction Rate Limited Reime Slope = E a Dep. Rate less dependent on T, here for better control, better to operate here (@ hiher T) Arrhenius behavior 1 T temperature EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 17

18 Atmospheric Pressure Reactor (APCVD) Once used for silicon dioxide passivation in interated circuits Substrates fed continuously Lare diameter wafers Need hih as flow rates Mass transport-limited reime (hih h pressure, so touher for as to et to the wafer surface) Problems/Issues: Wafers lay flat, and thus, incorporate forein particles Poor step coverae EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 18

19 Low Pressure Reactor (LPCVD) Many films available: polysilicon, SiGe, Si 3 N 4, SiO 2, phosphosilicate lass (PSG), BPSG, W Temp.: C Press.: Pa (200mTorr 2Torr) Reaction rate limited; reduced pressure ives as molecular hih dff diffusivity; can supply reactants very fast! Can handle several Problems: hundred wafers at a Low dep. rate (compared to atm.) time Hiher T (than atmospheric) Excellent uniformity In hot wall reactors, et deposition on tube walls (must clean) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 19

20 Plasma-Enhanced CVD Reactor (PECVD) RF-induced low dischare + thermal enery to drive reactions allows lower temperature deposition with decent conformability Still low pressure Problems: Pin-holes Non-stoichiometric films Incorporation of H 2, N 2, O 2 contaminants in film; can lead to outassin or bubblin in later steps EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 20

21 Polysilicon CVD Polysilicon Deposition: Fairly hih h temperature t conformal 600 C SiH 4 Si + 2H 2 (thermal decomposition of silane) LPCVD (25 to 150 Pa) Å/min (conformal hih T) In situ dopin of polysilicon: n-type: add PH 3 (phosphine) p or Arsine ases (but reatly reduces dep. rate) p-type: add diborane as (reatly increases dep. Rate) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 21

22 Silicon Oxide CVD Silicon Dioxide Deposition: After metallization (e.., over aluminum) Temperature cannot exceed the Si-Al eutectic pt.: 577 o C Actually, need lower than this (<500 o C) to prevent hillocks from rowin on Al surfaces Similar issues for copper (Cu) metallization Low temperature reactions: SiH 4 + O 2 SiO 2 + 2H 2 LPCVD (silane) C LTO Reactions 4PH 3 + 5O 2 2P 2 O 5 + 6H 2 (phosphine) p C Phosphosilicate h lass (PSG) Above reactions: not very conformal step coverae need hiher T for this EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 22

23 Silicon Oxide CVD (cont.) Phosphosilicate lass can be reflown 6-8 wt. % allows o C Very useful to achieve smoother toporaphy Lower concentration won t reflow Hiher concentration corrodes Al if moisture is present 5-15% P can be used as a diffusion source to dope Si Before metallization: Can use hiher temperature better uniformity and step coverae HTO SiCl 2 H 2 + 2N 2 O SiO 2 + 2N 2 + 2HCl ~900 C (dichlorosilane) (Nitrous (nice conformal oxide) step coverae) or EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 23

24 Silicon Oxide CVD (cont.) Si(OC 2 H 5 ) 4 SiO 2 + by-products C (Tetraethylorthosilicate) (excellent uniformity & (TEOS) conformal step coverae) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 24

25 Silicon Nitride CVD Silicon Nitride Deposition: First, note that thermal rowth is possible: Si in NH o C But very slow rowth rate, thus, impractical LPCVD reactions: C Silane reaction: 3SiH 4 + 4NH 3 Si 3 N H 2 Dichlorosilane reaction: (Atm. Press.) C 3SiCl 2 H 2 + 4NH 3 Si 3 N 4 + 6HCl + 6H 2 (LPCVD) Increase and T = 835 C Si rich nitride low stress Problem: Clobbers your pumps! Expensive to maintain! EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 25

26 Silicon Nitride CVD (cont.) Comments on LPCVD nitride films: Hydroen rich: ~8% H 2 Hih internal tensile stresses: films >1000Å crack and peel due to excessive stress Can et 2μm films with Si-rich nitride LPCVD ives hih resistivity (10 16 Ω-cm) and dielectric strenth (10 MV/cm) PECVD Nitride: PECVD films: Non-stoichiometric nitride or 20-25% H 2 content Can control stress 6 Ar plasma (10 Ω cm ) resistivity Nitroen dischare SiH 4 + N 2 2SiNH + 3H 2 SiH 4 + NH 3 SiNH + 3H 3 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 26

27 Metal CVD CVD Metal Deposition: Tunston n (W) deposited d by thermal, plasma or opticallyassisted decomposition WF 6 W + 3F 2 or via reaction with H 2 : WF 6 + 3H 2 W + 6HF Other Metals Molybdenum (Mo), Tantalum (Ta), and Titanium (Ti) 2MCl 5 + 5H 2 2M + 10HCl, where M = Mo, Ta, or Ti (Even Al can be CVD ed with tri-isobutyl Al but other methods are better.) (Cu is normally electroplated) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 27

28 Epitaxy Epitaxy: Use CVD to deposit Si on the surface of a Si wafer Si wafer acts as a seed crystal Can row a sinle-crystal Si film (as opposed to poly-si) Modelin similar to CVD in fact, the model discussed so far for CVD is more relevant to epitaxy than CVD! Lo (rowth rate) et similar curve: Mass transport limited Reaction rate limited Reactions can use SiCl 4, SiH 4, SiH 2 Cl 2 for vapor phase epitaxy. 1 T SiCl 4 : Silicon tetrachloride SiH 4 : silane SiH 4 Cl 2 : dichlorosilane EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 28

29 Epitaxy (cont.) Most popular: (Note that this is reversible!) ibl!) 1200 C SiCl 4 (as) + 2H 2 (as) Si (solid) + 4HCl (as) Also et a competin reaction. Reverse reaction (i.e., etchin) if have excessive HCl sometimes used before deposition to clean the Si wafer surface. SiCl 4 (as) + Si (solid) 2SiCl 2 (as) Too much SiCl 4 etchin rather than rowth takes place! Growth rate too fast et polysilicon instead of Si. (> 2μm/min.) Important that the riht conc. of SiCl 4 is used! See Fiure EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 29

30 Epitaxial Growth Rate Dependencies Fiure 4.2 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 30

31 Epitaxy (cont.) Alternative reaction: pyrolytic decomposition of silane: 650 C SiH 4 Si + 2H 2 not reversible, low T, no HCl formation however, requires careful control of the reaction to prevent formation of poly-si also, the presence of an oxidizin species Dopin of Epitaxial Layers: causes s silica formation on 1. Just add impurities durin rowth: Arsine, diborane, Phosphine Control resistivity by varyin partial pressure of dopant species i. Arsine, Phosphine slow down the rowth rate ii. Diborane enhances rowth rate EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 31

32 Dopin of Epitaxial Layers 2. Use autodopin when rowin own heavily-doped substrates Impurity evaporates from wafer (or liberated by Cl etchin of surface durin dep.) Incorporates into as stream Impurities dope new layer Examples of autodopin: Bipolar Processin: n+ P-Si epitoxy MOS: n+ Buried collector n n+ to reduce n- P-Si collection R n+ epitoxy Dopant radient helps to prevent latch up and punch throuh EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 32

33 Atomic Layer Deposition (ALD) EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 33

34 Atomic Layer Deposition (ALD) Precursor A Precursor B Fundamental Components: Self-limitin limitin surface reactions of suitable precursor compounds A & B A & B then form the desired product S in a binary reaction cycle consistin of two sequential half-reactions EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 34

35 Atomic Layer Deposition (ALD) Remarks: Both half-reactions must be complete and self-limitin at the monolayer level l The total film thickness d(tot) can be diitally controlled by the number of applied deposition cycles N(A/B): d(tot) = d(mono) N(A/B) The reaents A & B in the half reactions are normally chemical reactions But they don t need to be They can also represent a physical process, e.., heatin, irradiation, electrochemical conversion EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 35

36 Advantaes of ALD Surface limited reaction excellent step coverae and refillin Self-limitin mechanism Monolayer deposition Composition control Thickness control ( # of cycles) Less sensitive to flow rate & temperature Note, thouh, that there s still rowth a temperature cycle window: monolayer Incomplete Reaction Condensation ALD Window Decomposition Re-evaporation temperature EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 36

37 ALD Reactor 200 o C to 400 o C needed Must pure completely before the next pulse Usually mixed w/ an inert as to achieve lower effective vapor pressures slows reaction, but needed to allow rapid pulsin & purin EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 37

38 Al 2 O 3 ALD In air H 2 O vapor is adsorbed on most surfaces, formin a hydroxyl roup With silicon this forms :Si-O-H (s) Place the substrate in the reactor Pulse TrimethylAluminum (TMA) into the reaction chamber EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 38

39 Al 2 O 3 ALD TrimethylAluminum (TMA) reacts with the adsorbed hydroxyl roups, producin methane as the reaction product Al(CH 3 ) 3 () + :Si-O-H (s) ô :Si-O-Al(CH 3 ) 2 (s) + CH 4 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 39

40 Al 2 O 3 ALD TrimethylAluminum (TMA) reacts with the adsorbed hydroxyl roups, until the surface is passivated TMA does not react with itself, so terminates the reaction to one layer This leads to the perfect uniformity of ALD. The excess TMA and methane reaction product is pumped away EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 40

41 Al 2 O 3 ALD After the TMA and methane reaction product is pumped away, water vapor (H 2 O) is pulsed into the reaction chamber. EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 41

42 Al 2 O 3 ALD H 2 O reacts with the danlin methyl roups on the new surface formin aluminum-oxyen (Al-O) brides and hydroxyl surface roups, waitin for a new TMA pulse Aain methane is the reaction product 2 H 2 O () + :Si-O-Al(CH 3 ) 2 (s) ô :Si-O-Al(OH) 2 (s) + 2 CH 4 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 42

43 Al 2 O 3 ALD The reaction product methane is pumped p away Excess H 2 O vapor does not react with the hydroxyl surface roups Aain, et perfect passivation to one atomic layer EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 43

44 Al 2 O 3 ALD One TMA and one H 2 O vapor pulse form one cycle Here, three cycles are shown, with approximately 1 Å per cycle Each cycle includin pulsin and pumpin takes, e.., 3 sec Al(CH 3 3) 3 () + :Si-O-H (s) ô :Si-O-Al(CH 3 3) 2 (s) + CH 4 2 H 2 O () + :Si-O-Al(CH 3 ) 2 (s) ô :Si-O-Al(OH) 2 (s) + 2 CH 4 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 44

45 ALD Video Run the ALD video EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 45

46 ALD Capability Excellent conformality, even at the bottom of the trench! (aspect ratio ~60:1) Al 2 O 3 EE C245: Introduction to MEMS Desin Lecture 5 C. Nuyen 9/11/08 46

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Hihly efficient ate-tunable photocurrent eneration in vertical heterostructures of layered materials Woo Jon Yu, Yuan Liu, Hailon Zhou, Anxian Yin, Zhen Li, Yu Huan, and Xianfen Duan. Schematic illustration

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 6: Process

More information

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments 07-Rodrigues-V4 N2-AF 19.08.09 19:41 Page 84 Time-of-Flight Flow Microsensor using Free-Standing Microfilaments Roberto Jacobe Rodrigues 1,2, and Rogério Furlan 3 1 Center of Engineering and Social Sciences,

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Lecture 1: Vapour Growth Techniques

Lecture 1: Vapour Growth Techniques PH3EC2 Vapour Growth and Epitaxial Growth Lecturer: Dr. Shinoj V K Lecture 1: Vapour Growth Techniques 1.1 Vapour growth The growth of single crystal materials from the vapour phase. Deposition from the

More information

CHAPTER 3: Epitaxy. City University of Hong Kong

CHAPTER 3: Epitaxy. City University of Hong Kong 1 CHAPTER 3: Epitaxy Epitaxy (epi means "upon" and taxis means "ordered") is a term applied to processes used to grow a thin crystalline layer on a crystalline substrate. The seed crystal in epitaxial

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Solutions for Assignment-6

Solutions for Assignment-6 Solutions for Assignment-6 Q1. What is the aim of thin film deposition? [1] (a) To maintain surface uniformity (b) To reduce the amount (or mass) of light absorbing materials (c) To decrease the weight

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Micro Chemical Vapor Deposition System: Design and Verification

Micro Chemical Vapor Deposition System: Design and Verification Micro Chemical Vapor Deposition System: Design and Verification Q. Zhou and L. Lin Berkeley Sensor and Actuator Center, Department of Mechanical Engineering, University of California, Berkeley 2009 IEEE

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular Vacuum Technology Vacuum Pumps Two general classes exist: Gas transfer physical removal of matter Mechanical, diffusion, turbomolecular Adsorption entrapment of matter Cryo, sublimation, ion Mechanical

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82 Lecture 7 Oxidation Chapter 7 Wolf and Tauber 1/82 Announcements Homework: Homework will be returned to you today (please collect from me at front of class). Solutions will be also posted online on today

More information

Intrinsic Semiconductors

Intrinsic Semiconductors Technische Universität Graz Institute of Solid State Physics Intrinsic Semiconductors ermi function f(e) is the probability that a state at energy E is occupied. f( E) 1 E E 1 exp kt B ermi energy The

More information

Vacuum Technology and film growth. Diffusion Resistor

Vacuum Technology and film growth. Diffusion Resistor Vacuum Technology and film growth Poly Gate pmos Polycrystaline Silicon Source Gate p-channel Metal-Oxide-Semiconductor (MOSFET) Drain polysilicon n-si ion-implanted Diffusion Resistor Poly Si Resistor

More information

2.1 Template Method Microemulsion Nanostructured Polymer (Copolymer, DNA) Nanostructured Ceramics (AAO, Porous Silica, Zeolite)

2.1 Template Method Microemulsion Nanostructured Polymer (Copolymer, DNA) Nanostructured Ceramics (AAO, Porous Silica, Zeolite) Chapter 1. Characteristics of Nanomaterials Chapter 2. Methodology of Nanomaterials 2.1 Template Method 2.1.1. Microemulsion 2.1.2. Nanostructured Polymer (Copolymer, DNA) 2.1.3. Nanostructured Ceramics

More information

Stoichiometry of the reaction of sodium carbonate with hydrochloric acid

Stoichiometry of the reaction of sodium carbonate with hydrochloric acid Stoichiometry of the reaction of sodium carbonate with hydrochloric acid Purpose: To calculate the theoretical (expected) yield of product in a reaction. To weih the actual (experimental) mass of product

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

CHEMICAL VAPOR DEPOSITION (CVD)

CHEMICAL VAPOR DEPOSITION (CVD) CHEMICAL VAPOR DEPOSITION (CVD) A process of formation of a non-volatile solid film on a substrate from the reaction of vapor phase chemical reactants containing the right proportion of constituents. ELEMETRY

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Photovoltaic cell and module physics and technology

Photovoltaic cell and module physics and technology Photovoltaic cell and module physics and technology Vitezslav Benda, Prof Czech Technical University in Prague benda@fel.cvut.cz www.fel.cvut.cz 6/21/2012 1 Outlines Photovoltaic Effect Photovoltaic cell

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information

Chemical Vapor Deposition *

Chemical Vapor Deposition * OpenStax-CNX module: m25495 1 Chemical Vapor Deposition * Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Copyright Warning & Restrictions

Copyright Warning & Restrictions Copyright Warning & Restrictions The copyright law of the United States (Title 17, United States Code) governs the making of photocopies or other reproductions of copyrighted material. Under certain conditions

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT WRITE YOUR SOLUTIONS ON ONLY ONE SIDE OF EMPTY SOLUTION SHEETS

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Thermal Oxidation of Si

Thermal Oxidation of Si Thermal Oxidation of General Properties of O 2 Applications of thermal O 2 Deal-Grove Model of Oxidation Thermal O 2 is amorphous. Weight Density = 2.20 gm/cm 3 Molecular Density = 2.3E22 molecules/cm

More information

Photovoltaic cell and module physics and technology. Vitezslav Benda, Prof Czech Technical University in Prague

Photovoltaic cell and module physics and technology. Vitezslav Benda, Prof Czech Technical University in Prague Photovoltaic cell and module physics and technology Vitezslav Benda, Prof Czech Technical University in Prague benda@fel.cvut.cz www.fel.cvut.cz 1 Outlines Photovoltaic Effect Photovoltaic cell structure

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Test Review # 7. Combined Gas Law PV T PV T. Ideal Gas Law PV = nrt. Chemistry H-3: Form TR7.6A

Test Review # 7. Combined Gas Law PV T PV T. Ideal Gas Law PV = nrt. Chemistry H-3: Form TR7.6A Chemistry H-3: Form TR7.6A TEST 9 REVIEW Name Date Period Test Review # 7 ENERGY Calculatin Joules. When you heat a solid, it s temperature enerally oes up. There is a relationship between heat and temperature,

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Physical Vapor Deposition

Physical Vapor Deposition Physical Vapor Deposition EVAPORATION SPUTTERING Typically used for metallization of semiconductors. Both Evaporation & Sputtering are done in vacuum environments. Typically: y Evaporation Pressures are

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW#3 is assigned due Feb. 20 st Mid-term exam Feb 27, 2PM

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 1 MDL 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2

More information

Fabrication and Characterization of Silicon Rich Oxide (SRO) Thin Film Deposited by Plasma Enhanced CVD for Si Quantum Dot

Fabrication and Characterization of Silicon Rich Oxide (SRO) Thin Film Deposited by Plasma Enhanced CVD for Si Quantum Dot Fabrication and Characterization of Silicon Rich Oxide (SRO) Thin Film Deposited by Plasma Enhanced CVD for Si Quantum Dot By Tian Zhang School of Photovoltaic and Renewable Energy Engineering Technology

More information

Oxide growth model. Known as the Deal-Grove or linear-parabolic model

Oxide growth model. Known as the Deal-Grove or linear-parabolic model Oxide growth model Known as the Deal-Grove or linear-parabolic model Important elements of the model: Gas molecules (oxygen or water) are incident on the surface of the wafer. Molecules diffuse through

More information