Convergence Aid Techniques for Compact Modelling with Verilog-A

Size: px
Start display at page:

Download "Convergence Aid Techniques for Compact Modelling with Verilog-A"

Transcription

1 Cnvergence Aid Techniques fr Cmpact Mdelling with Verilg-A Slbdan Mijalkvić Silvac Eurpe, St Ives, Cambridge, UK MOS-AK 2010 Rme

2 Verilg-A Language Submdule Prt Nde Branch Mdule Nde Mdule Mdule Prt Mdule Standard language Independent language entity ( highjacked by Spice-like circuit simulatrs as device mdeling language). Supprts DC, AC, transient and nise analysis (and crrespnding initializatin steps) SPICE-like structural and hierarchical cncepts Use f generalized Kirchhff s KPL and KFL laws (cnverted t standard SPICE KVL and KCL laws) Enhanced fr cmpact mdeling (frm LRM 2.2) MOS-AK 2010 Rme

3 SmartSpice Verilg-A Cmpiler Integrated develpment and debugging envirnment accelerates cmpact mdel develpment Supprt fr (partially r fully) encrypting f the Verilg- A surce allws distributin f prprietary mdels withut disclsure. Prvides secure, transprtable methd fr analg IP distributin and evaluatin Cmpatible with all analg features f the Verilg-AMS 2.3 language specificatin SmartSpice Verilg-A executable mdels are currently within 2x runtime perfrmance f the crrespnding ptimized C-cmpiled mdels Cmpact Mdels (in Verilg-A surce, binary r encrypted frmats) are available at MOS-AK 2010 Rme

4 Cmpact Mdeling with Verilg-A Benefits Verilg-A prvides cncise and structured descriptin f a cmpact mdel interface and architecture. Highly simplified mdel implementatin (autmatic cde differentiatin and lad f branch matrix Jacbians). Instant implementatin f new cmpact mdels in circuit simulatrs. Risks The cmpact mdel implementatins are ften incmplete (e.g. utput variables, descriptins, units f parameters, parameter range, etc.) Easy t neglect numerical mdel requirements and implementatin f the cnvergence aid techniques. The prgramming practices and perfrmance f executable mdels varies with Verilg-A cmpilers. MOS-AK 2010 Rme

5 Numerical Mdel Requirements Asympttic crrectness. The mdel evaluatin cde shuld be defined (free frm flating pint exceptins) fr arbitrary bias and temperature cnditins and fr all allwed values f the mdel parameters Smth mdel behavir. The branch ndal vltages and branch currents shuld be a C cntinuus functin f input variables Existing and unique slutin f implicit mdel equatins (e.g thermal nde temperature). MOS-AK 2010 Rme

6 Eliminating Mdel Discntinuity Nested Transfrmatins (Silvac UOTFT Mdel) I G V ( V ) ds ch dse ds V ds dse( Vds) m 1/ m 1 I sat GchVds 1 V V ds G ch V sat I sat V sat I sat G QC C i ch V sat 2V t 2 1 drift diffusin MOS-AK 2010 Rme

7 Eliminating Mdel Discntinuity Limiting Functins xfr x1 f( x) 1fr x fsq ( x) x 1 ( x 1) 4 2 f( x ) f( x) f f f pw el sq ( x) ( x) ( x) (1 x)ln(2) fel ( x) x ln 1 exp ln(2) xfr x14 2 x 14 f pw( x) y fr 1-4 x< fr x 14 MOS-AK 2010 Rme

8 Existing and Unique Slutin Self-Heating Mdels T Rth ( I, V ) I Ta T d (, ) f V T d I T Critical fr SOI, TFT and any ther MOSFET mdel with self-heating Requires an apprpriate applicatin f the limiting functins r mdificatins in the mdel frmulatin t prevent nn-existing and clse multiple slutins. MOS-AK 2010 Rme

9 Nn-Cnvergence and Pssible Remedies Reasn fr Nn-Cnvergence Nn-existing slutin Initial slutin utside the cnvergence regin Slver Level Imprving circuit design tplgy, mdel/instance parameters and numerical range slver parameters Setting initial slutins at the netlist level Cnvergence Aids Mdel Level Clipping f the mdel parameters Limiting variatins f ndal vltages and branch currents. Setting mdel state initial slutins Multiple slutins Flating-pint exceptins Flating-pint precisin prblems (verflw, underflw, ill cnditining) Nn-cntinuus mdel evaluatin expressins Hmtpy Dumped iteratin steps (mdified Newtn methds) MOS-AK 2010 Rme Using slver hmtpy parameters Limiting internal mdel state iteratin steps Limiting the arguments f rt and ple functins Bunding branch cnductivity The methd f alternating bases Eliminate mdel discntinuity

10 Setting Initial Slutins Glbal initializatin electrical g = 1.0; Analysis dependent initializatin if (analysis( ic")) V(cap) <+ initial_value; else I(cap) <+ ddt(c*v(cap)); Analysis Argument DC Sweep d1 d2 dn First part f "static analysis TRAN p Tran AC p AC NOISE p AC "ndeset" Initial cnditin "ic" MOS-AK 2010 Rme

11 Bunding Branch Cnductivity Adding small series resistance t nnlinear branch Adding small cnductance in parallel with the nnlinear branch Linearized nnlinear branch abve a critical current threshld I I max gmin = $simparam( gmin, 1e-13); V String Unit Descriptin gmin 1/Ω Minimum cnductance placed in parallel with nnlinear branches. imax A Branch current threshld abve which the cnstitutive relatin f a nnlinear branch shuld be linearized. MOS-AK 2010 Rme

12 Hmtpy Methds h( x, ) f( x) (1 ) f ( x) 0 0 gdev = $simparam( gdev ); String Unit Descriptin gdev 1/Ω Additinal cnductance t be added t nnlinear branches fr cnductance hmtpy cnvergence algrithm. surcescalefactr Multiplicative factr fr independent surces fr surce stepping hmtpy cnvergence algrithm. MOS-AK 2010 Rme

13 Limiting Newtn Iteratin Steps analg begin vn = TYPE * VT0; vcrit = CONSTvt0 * ln( CONSTvt0 / ( CONSTrt2*1.0e-14)); vgs = TYPE * $limit (V(gate,surcep), fetlim, vn); vbs = TYPE * $limit (V(bulk,surcep), pnjlim, CONSTvt0, vcrit );... Functin name Arguments Meant fr limiting fetlim vth gate-t-surce vltage f field-effect transistrs pnjlim vte, vcrit vltage acrss dides and p-n junctins in ther devices vdslim (nne) drain-t-surce vltage f field-effect transistrs MOS-AK 2010 Rme

14 Limiting Newtn Iteratin Steps analg functin real DEVlimvds; input VdsNew, VdsOld; real VdsNew, VdsOld; if (VdsOld >= 3.5) begin if (VdsNew > VdsOld) begin limitedvalue = MIN(VdsNew,(3*VdsOld)+2);... if (limitedvalue!= VdsNew) $discntinuity(-1); DEVlimvds = limitedvalue; endfunctin analg begin vds = TYPE * $limit(v(d, s), DEVlimvds);... MOS-AK 2010 Rme

15 The Methd f Alternating Bases I k I branch (p,n) di if (V(di) < Vcrit? 1 : 0) I(di) <+ IS*(exp(V(p,n)/Vth)-1); else V(p,n) <+ Vth*ln(I(p,n)/IS+1); Iˆk Ik 1 Vk 1 V k Vˆk V MOS-AK 2010 Rme

16 Summary The best set f cnvergence aid techniques is the numerically crrect (asympttically crrect and C cntinuus) implementatin f the physics based cmpact mdels Even numerically crrect mdel implementatin culd require the setting f the initial slutins and bunding f the branch cnductivities t imprve the cnvergence prperties in particular circuit designs. The hmtpy methds f the slver shuld be emplyed als n the mdel side. Nn-cnvergence is ften caused by the incrrect circuit design as well as inapprpriate slver and mdel parameters (it is nt always a mdel fault) MOS-AK 2010 Rme

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax .7.4: Direct frequency dmain circuit analysis Revisin: August 9, 00 5 E Main Suite D Pullman, WA 9963 (509) 334 6306 ice and Fax Overview n chapter.7., we determined the steadystate respnse f electrical

More information

MATCHING TECHNIQUES. Technical Track Session VI. Emanuela Galasso. The World Bank

MATCHING TECHNIQUES. Technical Track Session VI. Emanuela Galasso. The World Bank MATCHING TECHNIQUES Technical Track Sessin VI Emanuela Galass The Wrld Bank These slides were develped by Christel Vermeersch and mdified by Emanuela Galass fr the purpse f this wrkshp When can we use

More information

MATCHING TECHNIQUES Technical Track Session VI Céline Ferré The World Bank

MATCHING TECHNIQUES Technical Track Session VI Céline Ferré The World Bank MATCHING TECHNIQUES Technical Track Sessin VI Céline Ferré The Wrld Bank When can we use matching? What if the assignment t the treatment is nt dne randmly r based n an eligibility index, but n the basis

More information

A Scalable Recurrent Neural Network Framework for Model-free

A Scalable Recurrent Neural Network Framework for Model-free A Scalable Recurrent Neural Netwrk Framewrk fr Mdel-free POMDPs April 3, 2007 Zhenzhen Liu, Itamar Elhanany Machine Intelligence Lab Department f Electrical and Cmputer Engineering The University f Tennessee

More information

Module 4: General Formulation of Electric Circuit Theory

Module 4: General Formulation of Electric Circuit Theory Mdule 4: General Frmulatin f Electric Circuit Thery 4. General Frmulatin f Electric Circuit Thery All electrmagnetic phenmena are described at a fundamental level by Maxwell's equatins and the assciated

More information

Lecture 02 CSE 40547/60547 Computing at the Nanoscale

Lecture 02 CSE 40547/60547 Computing at the Nanoscale PN Junctin Ntes: Lecture 02 CSE 40547/60547 Cmputing at the Nanscale Letʼs start with a (very) shrt review f semi-cnducting materials: - N-type material: Obtained by adding impurity with 5 valence elements

More information

A Novel Electro-thermal Simulation Approach to Power IGBT Modules for Automotive Traction Applications

A Novel Electro-thermal Simulation Approach to Power IGBT Modules for Automotive Traction Applications Special Issue Recent R&D Activities f Pwer Devices fr Hybrid Electric Vehicles 27 Research Reprt A Nvel Electr-thermal Simulatin Apprach t Pwer IGBT Mdules fr Autmtive Tractin Applicatins Takashi Kjima,

More information

EDA Engineering Design & Analysis Ltd

EDA Engineering Design & Analysis Ltd EDA Engineering Design & Analysis Ltd THE FINITE ELEMENT METHOD A shrt tutrial giving an verview f the histry, thery and applicatin f the finite element methd. Intrductin Value f FEM Applicatins Elements

More information

DF452. Fast Recovery Diode DF452 APPLICATIONS KEY PARAMETERS V RRM 1600V I F(AV) 540A I FSM. 5000A Q r t rr FEATURES VOLTAGE RATINGS

DF452. Fast Recovery Diode DF452 APPLICATIONS KEY PARAMETERS V RRM 1600V I F(AV) 540A I FSM. 5000A Q r t rr FEATURES VOLTAGE RATINGS Fast Recvery Dide Replaces January 2000 versin, DS4213-4.0 DS4213-5.0 June 2004 APPLICATIONS Inductin Heating A.C. Mtr Drives Inverters And Chppers Welding High Frequency Rectificatin UPS KEY PARAMETERS

More information

7 TH GRADE MATH STANDARDS

7 TH GRADE MATH STANDARDS ALGEBRA STANDARDS Gal 1: Students will use the language f algebra t explre, describe, represent, and analyze number expressins and relatins 7 TH GRADE MATH STANDARDS 7.M.1.1: (Cmprehensin) Select, use,

More information

ECE 497 JS Lecture - 14 Projects: FDTD & LVDS

ECE 497 JS Lecture - 14 Projects: FDTD & LVDS ECE 497 JS Lecture - 14 Prjects: FDTD & LVDS Spring 2004 Jse E. Schutt-Aine Electrical & Cmputer Engineering University f Illinis jse@emlab.uiuc.edu 1 ECE 497 JS - Prjects All prjects shuld be accmpanied

More information

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink American Jurnal f Engineering Research (AJER) 016 American Jurnal f Engineering Research (AJER) e-issn: 30-0847 p-issn : 30-0936 Vlume-5, Issue-, pp-9-36 www.ajer.rg Research Paper Open Access Design and

More information

Copyright Paul Tobin 63

Copyright Paul Tobin 63 DT, Kevin t. lectric Circuit Thery DT87/ Tw-Prt netwrk parameters ummary We have seen previusly that a tw-prt netwrk has a pair f input terminals and a pair f utput terminals figure. These circuits were

More information

GENESIS Structural Optimization for ANSYS Mechanical

GENESIS Structural Optimization for ANSYS Mechanical P3 STRUCTURAL OPTIMIZATION (Vl. II) GENESIS Structural Optimizatin fr ANSYS Mechanical An Integrated Extensin that adds Structural Optimizatin t ANSYS Envirnment New Features and Enhancements Release 2017.03

More information

Modelling of NOLM Demultiplexers Employing Optical Soliton Control Pulse

Modelling of NOLM Demultiplexers Employing Optical Soliton Control Pulse Micwave and Optical Technlgy Letters, Vl. 1, N. 3, 1999. pp. 05-08 Mdelling f NOLM Demultiplexers Emplying Optical Slitn Cntrl Pulse Z. Ghassemly, C. Y. Cheung & A. K. Ray Electrnics Research Grup, Schl

More information

OP AMP CHARACTERISTICS

OP AMP CHARACTERISTICS O AM CHAACTESTCS Static p amp limitatins EFEENCE: Chapter 5 textbk (ESS) EOS CAUSED BY THE NUT BAS CUENT AND THE NUT OFFSET CUENT Op Amp t functin shuld have fr the input terminals a DC path thrugh which

More information

A New Approach to Increase Parallelism for Dependent Loops

A New Approach to Increase Parallelism for Dependent Loops A New Apprach t Increase Parallelism fr Dependent Lps Yeng-Sheng Chen, Department f Electrical Engineering, Natinal Taiwan University, Taipei 06, Taiwan, Tsang-Ming Jiang, Arctic Regin Supercmputing Center,

More information

Lyapunov Stability Stability of Equilibrium Points

Lyapunov Stability Stability of Equilibrium Points Lyapunv Stability Stability f Equilibrium Pints 1. Stability f Equilibrium Pints - Definitins In this sectin we cnsider n-th rder nnlinear time varying cntinuus time (C) systems f the frm x = f ( t, x),

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 2: Mdeling change. In Petre Department f IT, Åb Akademi http://users.ab.fi/ipetre/cmpmd/ Cntent f the lecture Basic paradigm f mdeling change Examples Linear dynamical

More information

February 28, 2013 COMMENTS ON DIFFUSION, DIFFUSIVITY AND DERIVATION OF HYPERBOLIC EQUATIONS DESCRIBING THE DIFFUSION PHENOMENA

February 28, 2013 COMMENTS ON DIFFUSION, DIFFUSIVITY AND DERIVATION OF HYPERBOLIC EQUATIONS DESCRIBING THE DIFFUSION PHENOMENA February 28, 2013 COMMENTS ON DIFFUSION, DIFFUSIVITY AND DERIVATION OF HYPERBOLIC EQUATIONS DESCRIBING THE DIFFUSION PHENOMENA Mental Experiment regarding 1D randm walk Cnsider a cntainer f gas in thermal

More information

Review Problems 3. Four FIR Filter Types

Review Problems 3. Four FIR Filter Types Review Prblems 3 Fur FIR Filter Types Fur types f FIR linear phase digital filters have cefficients h(n fr 0 n M. They are defined as fllws: Type I: h(n = h(m-n and M even. Type II: h(n = h(m-n and M dd.

More information

THERMAL-VACUUM VERSUS THERMAL- ATMOSPHERIC TESTS OF ELECTRONIC ASSEMBLIES

THERMAL-VACUUM VERSUS THERMAL- ATMOSPHERIC TESTS OF ELECTRONIC ASSEMBLIES PREFERRED RELIABILITY PAGE 1 OF 5 PRACTICES PRACTICE NO. PT-TE-1409 THERMAL-VACUUM VERSUS THERMAL- ATMOSPHERIC Practice: Perfrm all thermal envirnmental tests n electrnic spaceflight hardware in a flight-like

More information

DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD

DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD Sangh Kim Stanfrd University Juan J. Alns Stanfrd University Antny Jamesn Stanfrd University 40th AIAA Aerspace Sciences

More information

Time, Synchronization, and Wireless Sensor Networks

Time, Synchronization, and Wireless Sensor Networks Time, Synchrnizatin, and Wireless Sensr Netwrks Part II Ted Herman University f Iwa Ted Herman/March 2005 1 Presentatin: Part II metrics and techniques single-hp beacns reginal time znes ruting-structure

More information

Math Foundations 20 Work Plan

Math Foundations 20 Work Plan Math Fundatins 20 Wrk Plan Units / Tpics 20.8 Demnstrate understanding f systems f linear inequalities in tw variables. Time Frame December 1-3 weeks 6-10 Majr Learning Indicatrs Identify situatins relevant

More information

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment Presented at the COMSOL Cnference 2008 Hannver University f Parma Department f Industrial Engineering Numerical Simulatin f the Thermal Respsne Test Within the Cmsl Multiphysics Envirnment Authr : C. Crradi,

More information

Dead-beat controller design

Dead-beat controller design J. Hetthéssy, A. Barta, R. Bars: Dead beat cntrller design Nvember, 4 Dead-beat cntrller design In sampled data cntrl systems the cntrller is realised by an intelligent device, typically by a PLC (Prgrammable

More information

Chapter 3: Cluster Analysis

Chapter 3: Cluster Analysis Chapter 3: Cluster Analysis } 3.1 Basic Cncepts f Clustering 3.1.1 Cluster Analysis 3.1. Clustering Categries } 3. Partitining Methds 3..1 The principle 3.. K-Means Methd 3..3 K-Medids Methd 3..4 CLARA

More information

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents Supplementary Curse Ntes Adding and Subtracting AC Vltages and Currents As mentined previusly, when cmbining DC vltages r currents, we nly need t knw the plarity (vltage) and directin (current). In the

More information

DISCRETE SEMICONDUCTORS DATA SHEET. BF996S N-channel dual-gate MOS-FET. Product specification File under Discrete Semiconductors, SC07

DISCRETE SEMICONDUCTORS DATA SHEET. BF996S N-channel dual-gate MOS-FET. Product specification File under Discrete Semiconductors, SC07 DISCRETE SEMICONDUCTORS DATA SHEET File under Discrete Semicnductrs, SC7 April 1991 FEATURES Prtected against excessive input vltage surges by integrated back-t-back dides between gates and surce. DESCRIPTION

More information

Transduction Based on Changes in the Energy Stored in an Electrical Field

Transduction Based on Changes in the Energy Stored in an Electrical Field Lecture 6-3 Transductin Based n Changes in the Energy Stred in an Electrical ield Department f Mechanical Engineering Example:Capacitive Pressure Sensr Pressure sensitive capacitive device With separatin

More information

ECEN 4872/5827 Lecture Notes

ECEN 4872/5827 Lecture Notes ECEN 4872/5827 Lecture Ntes Lecture #5 Objectives fr lecture #5: 1. Analysis f precisin current reference 2. Appraches fr evaluating tlerances 3. Temperature Cefficients evaluatin technique 4. Fundamentals

More information

On Behavioral Model Equivalence Checking for Large Analog/Mixed Signal Systems

On Behavioral Model Equivalence Checking for Large Analog/Mixed Signal Systems On ehaviral Mdel quivalence Checking fr Large Analg/Mixed Signal Systems Amandeep Singh and Peng Li Department f lectrical and Cmputer ngineering Texas A&M University, Cllege Statin, TX 77843 {amandeep.singh,

More information

Department: MATHEMATICS

Department: MATHEMATICS Cde: MATH 022 Title: ALGEBRA SKILLS Institute: STEM Department: MATHEMATICS Curse Descriptin: This curse prvides students wh have cmpleted MATH 021 with the necessary skills and cncepts t cntinue the study

More information

the results to larger systems due to prop'erties of the projection algorithm. First, the number of hidden nodes must

the results to larger systems due to prop'erties of the projection algorithm. First, the number of hidden nodes must M.E. Aggune, M.J. Dambrg, M.A. El-Sharkawi, R.J. Marks II and L.E. Atlas, "Dynamic and static security assessment f pwer systems using artificial neural netwrks", Prceedings f the NSF Wrkshp n Applicatins

More information

Dataflow Analysis and Abstract Interpretation

Dataflow Analysis and Abstract Interpretation Dataflw Analysis and Abstract Interpretatin Cmputer Science and Artificial Intelligence Labratry MIT Nvember 9, 2015 Recap Last time we develped frm first principles an algrithm t derive invariants. Key

More information

COMP 551 Applied Machine Learning Lecture 4: Linear classification

COMP 551 Applied Machine Learning Lecture 4: Linear classification COMP 551 Applied Machine Learning Lecture 4: Linear classificatin Instructr: Jelle Pineau (jpineau@cs.mcgill.ca) Class web page: www.cs.mcgill.ca/~jpineau/cmp551 Unless therwise nted, all material psted

More information

Linearization of the Output of a Wheatstone Bridge for Single Active Sensor. Madhu Mohan N., Geetha T., Sankaran P. and Jagadeesh Kumar V.

Linearization of the Output of a Wheatstone Bridge for Single Active Sensor. Madhu Mohan N., Geetha T., Sankaran P. and Jagadeesh Kumar V. Linearizatin f the Output f a Wheatstne Bridge fr Single Active Sensr Madhu Mhan N., Geetha T., Sankaran P. and Jagadeesh Kumar V. Dept. f Electrical Engineering, Indian Institute f Technlgy Madras, Chennai

More information

PE77D Series 3.3 V PECL Clock Oscillators November 2018

PE77D Series 3.3 V PECL Clock Oscillators November 2018 PECL Clck Oscillatrs Nvember 208 Pletrnics PE77D Series is a quartz crystal cntrlled precisin square wave generatr with a PECL utput. The package is designed fr high density surface munt designs. Lw cst

More information

ECE 2100 Circuit Analysis

ECE 2100 Circuit Analysis ECE 00 Circuit Analysis Lessn 6 Chapter 4 Sec 4., 4.5, 4.7 Series LC Circuit C Lw Pass Filter Daniel M. Litynski, Ph.D. http://hmepages.wmich.edu/~dlitynsk/ ECE 00 Circuit Analysis Lessn 5 Chapter 9 &

More information

Fast Fourier Transform-based micromechanical modeling of polycrystals with direct input from microstructural images

Fast Fourier Transform-based micromechanical modeling of polycrystals with direct input from microstructural images Fast Furier Transfrm-based micrmechanical mdeling f plycrystals with direct input frm micrstructural images Ricard A. Lebenshn (Ls Alams Natinal Labratry, USA) FFT-based frmulatin fr plycrystals: fast

More information

Administrativia. Assignment 1 due thursday 9/23/2004 BEFORE midnight. Midterm exam 10/07/2003 in class. CS 460, Sessions 8-9 1

Administrativia. Assignment 1 due thursday 9/23/2004 BEFORE midnight. Midterm exam 10/07/2003 in class. CS 460, Sessions 8-9 1 Administrativia Assignment 1 due thursday 9/23/2004 BEFORE midnight Midterm eam 10/07/2003 in class CS 460, Sessins 8-9 1 Last time: search strategies Uninfrmed: Use nly infrmatin available in the prblem

More information

3D FE Modeling Simulation of Cold Rotary Forging with Double Symmetry Rolls X. H. Han 1, a, L. Hua 1, b, Y. M. Zhao 1, c

3D FE Modeling Simulation of Cold Rotary Forging with Double Symmetry Rolls X. H. Han 1, a, L. Hua 1, b, Y. M. Zhao 1, c Materials Science Frum Online: 2009-08-31 ISSN: 1662-9752, Vls. 628-629, pp 623-628 di:10.4028/www.scientific.net/msf.628-629.623 2009 Trans Tech Publicatins, Switzerland 3D FE Mdeling Simulatin f Cld

More information

Bicycle Generator Dump Load Control Circuit: An Op Amp Comparator with Hysteresis

Bicycle Generator Dump Load Control Circuit: An Op Amp Comparator with Hysteresis Bicycle Generatr Dump Lad Cntrl Circuit: An Op Amp Cmparatr with Hysteresis Sustainable Technlgy Educatin Prject University f Waterl http://www.step.uwaterl.ca December 1, 2009 1 Summary This dcument describes

More information

A Correlation of. to the. South Carolina Academic Standards for Mathematics Precalculus

A Correlation of. to the. South Carolina Academic Standards for Mathematics Precalculus A Crrelatin f Suth Carlina Academic Standards fr Mathematics Precalculus INTRODUCTION This dcument demnstrates hw Precalculus (Blitzer), 4 th Editin 010, meets the indicatrs f the. Crrelatin page references

More information

Plasticty Theory (5p)

Plasticty Theory (5p) Cmputatinal Finite Strain Hyper-Elast Plasticty Thery (5p) à General ü Study nn-linear material and structural respnse (due t material as well as gemetrical effects) ü Fundamental principles fl Cntinuum

More information

SMG2305L. P-Channel Enhancement Mode Power Mos.FET WILLAS ELECTRONIC CORP. Description. Features. Applications. Absolute Maximum Ratings

SMG2305L. P-Channel Enhancement Mode Power Mos.FET WILLAS ELECTRONIC CORP. Description. Features. Applications. Absolute Maximum Ratings P-Channel Enhancement Mde Pwer Ms.FET Descriptin The prvide the designer with the best cmbinatin f fast switching, lw n-resistance and cst-effectiveness. The is universally preferred fr all cmmercial industrial

More information

Determining the Accuracy of Modal Parameter Estimation Methods

Determining the Accuracy of Modal Parameter Estimation Methods Determining the Accuracy f Mdal Parameter Estimatin Methds by Michael Lee Ph.D., P.E. & Mar Richardsn Ph.D. Structural Measurement Systems Milpitas, CA Abstract The mst cmmn type f mdal testing system

More information

ECE 545 Project Deliverables

ECE 545 Project Deliverables ECE 545 Prject Deliverables Tp-level flder: _ Secnd-level flders: 1_assumptins 2_blck_diagrams 3_interface 4_ASM_charts 5_surce_cde 6_verificatin 7_timing_analysis 8_results

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 11: Mdeling with systems f ODEs In Petre Department f IT, Ab Akademi http://www.users.ab.fi/ipetre/cmpmd/ Mdeling with differential equatins Mdeling strategy Fcus

More information

Engineering Decision Methods

Engineering Decision Methods GSOE9210 vicj@cse.unsw.edu.au www.cse.unsw.edu.au/~gs9210 Maximin and minimax regret 1 2 Indifference; equal preference 3 Graphing decisin prblems 4 Dminance The Maximin principle Maximin and minimax Regret

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 4: Mdel checing fr ODE mdels In Petre Department f IT, Åb Aademi http://www.users.ab.fi/ipetre/cmpmd/ Cntent Stichimetric matrix Calculating the mass cnservatin relatins

More information

8 th Grade Math: Pre-Algebra

8 th Grade Math: Pre-Algebra Hardin Cunty Middle Schl (2013-2014) 1 8 th Grade Math: Pre-Algebra Curse Descriptin The purpse f this curse is t enhance student understanding, participatin, and real-life applicatin f middle-schl mathematics

More information

Micro and Smart Systems

Micro and Smart Systems Micr and Smart Systems Lecture 33 OpAmps Circuits and signal cnditining fr micrsystems devices Prf K.N.Bhat, ECE Department, IISc Bangalre email: knbhat@gmail.cm Tpics fr Discussin Amplifiers and Op Amp

More information

EE247B/ME218: Introduction to MEMS Design Lecture 7m1: Lithography, Etching, & Doping CTN 2/6/18

EE247B/ME218: Introduction to MEMS Design Lecture 7m1: Lithography, Etching, & Doping CTN 2/6/18 EE247B/ME218 Intrductin t MEMS Design Lecture 7m1 Lithgraphy, Etching, & Dping Dping f Semicnductrs Semicnductr Dping Semicnductrs are nt intrinsically cnductive T make them cnductive, replace silicn atms

More information

Lim f (x) e. Find the largest possible domain and its discontinuity points. Why is it discontinuous at those points (if any)?

Lim f (x) e. Find the largest possible domain and its discontinuity points. Why is it discontinuous at those points (if any)? THESE ARE SAMPLE QUESTIONS FOR EACH OF THE STUDENT LEARNING OUTCOMES (SLO) SET FOR THIS COURSE. SLO 1: Understand and use the cncept f the limit f a functin i. Use prperties f limits and ther techniques,

More information

Electric Current and Resistance

Electric Current and Resistance Electric Current and Resistance Electric Current Electric current is the rate f flw f charge thrugh sme regin f space The SI unit f current is the ampere (A) 1 A = 1 C / s The symbl fr electric current

More information

Description Absolute Maximum Ratings Parameter Max. Units Thermal Resistance Parameter Typ. Max. Units

Description Absolute Maximum Ratings Parameter Max. Units Thermal Resistance Parameter Typ. Max. Units l l l l l Advanced Prcess Technlgy Dynamic dv/dt Rating 175 C Operating Temperature Fast Switching Fully Avalanche Rated Descriptin Fifth Generatin HEXFETs frm Internatinal Rectifier utilize advanced prcessing

More information

Differentiation Applications 1: Related Rates

Differentiation Applications 1: Related Rates Differentiatin Applicatins 1: Related Rates 151 Differentiatin Applicatins 1: Related Rates Mdel 1: Sliding Ladder 10 ladder y 10 ladder 10 ladder A 10 ft ladder is leaning against a wall when the bttm

More information

VHA6 Series 3.3V VCXO CMOS Oscillator Sep 2017

VHA6 Series 3.3V VCXO CMOS Oscillator Sep 2017 VCXO CMOS Oscillatr Sep 207 Pletrnics VHA6 Series is a vltage cntrlled crystal scillatr with a CMOS utput. This mdel uses fundamental mde crystals with n multiplicatin circuits. Tape and Reel r tube packaging

More information

Pattern Recognition 2014 Support Vector Machines

Pattern Recognition 2014 Support Vector Machines Pattern Recgnitin 2014 Supprt Vectr Machines Ad Feelders Universiteit Utrecht Ad Feelders ( Universiteit Utrecht ) Pattern Recgnitin 1 / 55 Overview 1 Separable Case 2 Kernel Functins 3 Allwing Errrs (Sft

More information

Lead/Lag Compensator Frequency Domain Properties and Design Methods

Lead/Lag Compensator Frequency Domain Properties and Design Methods Lectures 6 and 7 Lead/Lag Cmpensatr Frequency Dmain Prperties and Design Methds Definitin Cnsider the cmpensatr (ie cntrller Fr, it is called a lag cmpensatr s K Fr s, it is called a lead cmpensatr Ntatin

More information

Thermal behavior of Surface Mount Device (SMD) for Spicer case

Thermal behavior of Surface Mount Device (SMD) for Spicer case Thermal behavir f Surface Munt Device (SMD) fr Spicer case Sandip Kumar Saha, Frederik Rgiers, Martine Baelmans sandipkumar.saha@mech.kuleuven.be 3 th Octber 20 Outline Thermal analysis f existing Spicer

More information

GENERAL FORMULAS FOR FLAT-TOPPED WAVEFORMS. J.e. Sprott. Plasma Studies. University of Wisconsin

GENERAL FORMULAS FOR FLAT-TOPPED WAVEFORMS. J.e. Sprott. Plasma Studies. University of Wisconsin GENERAL FORMULAS FOR FLAT-TOPPED WAVEFORMS J.e. Sprtt PLP 924 September 1984 Plasma Studies University f Wiscnsin These PLP Reprts are infrmal and preliminary and as such may cntain errrs nt yet eliminated.

More information

IXD4902. Three-Terminal Negative Voltage Regulator FEATURES DESCRIPTION APPLICATIONS

IXD4902. Three-Terminal Negative Voltage Regulator FEATURES DESCRIPTION APPLICATIONS Three-Terminal Negative Vltage Regulatr FEATURES Output Current up t 200 ma (350 ma max) Input Vltage Range -2.4 V - -16 V Output Vltage Range frm -2.5 V t -12 V (fixed values) Drput Vltage 400 mv @ 100

More information

ZVS Boost Converter. (a) (b) Fig 6.29 (a) Quasi-resonant boost converter with M-type switch. (b) Equivalent circuit.

ZVS Boost Converter. (a) (b) Fig 6.29 (a) Quasi-resonant boost converter with M-type switch. (b) Equivalent circuit. EEL6246 Pwer Electrnics II Chapter 6 Lecture 6 Dr. Sam Abdel-Rahman ZVS Bst Cnverter The quasi-resnant bst cnverter by using the M-type switch as shwn in Fig. 6.29(a) with its simplified circuit shwn in

More information

[COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t o m a k e s u r e y o u a r e r e a d y )

[COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t o m a k e s u r e y o u a r e r e a d y ) (Abut the final) [COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t m a k e s u r e y u a r e r e a d y ) The department writes the final exam s I dn't really knw what's n it and I can't very well

More information

NGSS High School Physics Domain Model

NGSS High School Physics Domain Model NGSS High Schl Physics Dmain Mdel Mtin and Stability: Frces and Interactins HS-PS2-1: Students will be able t analyze data t supprt the claim that Newtn s secnd law f mtin describes the mathematical relatinship

More information

Admissibility Conditions and Asymptotic Behavior of Strongly Regular Graphs

Admissibility Conditions and Asymptotic Behavior of Strongly Regular Graphs Admissibility Cnditins and Asympttic Behavir f Strngly Regular Graphs VASCO MOÇO MANO Department f Mathematics University f Prt Oprt PORTUGAL vascmcman@gmailcm LUÍS ANTÓNIO DE ALMEIDA VIEIRA Department

More information

Simulation of Line Outage Distribution Factors (L.O.D.F) Calculation for N-Buses System

Simulation of Line Outage Distribution Factors (L.O.D.F) Calculation for N-Buses System Simulatin f Line Outage Distributin Factrs (L.O.D.F) Calculatin fr N-Buses System Rashid H. AL-Rubayi Department f Electrical Engineering, University f Technlgy Afaneen A. Abd Department f Electrical Engineering,

More information

Verification of Quality Parameters of a Solar Panel and Modification in Formulae of its Series Resistance

Verification of Quality Parameters of a Solar Panel and Modification in Formulae of its Series Resistance Verificatin f Quality Parameters f a Slar Panel and Mdificatin in Frmulae f its Series Resistance Sanika Gawhane Pune-411037-India Onkar Hule Pune-411037- India Chinmy Kulkarni Pune-411037-India Ojas Pandav

More information

Smart System Solutions for the Drive- Train of Commercial Electric Vehicles

Smart System Solutions for the Drive- Train of Commercial Electric Vehicles EPSS Annual Frum 2014 Turin, Italy Sept. 25, 2014 Smart System Slutins fr the Drive- Train f Cmmercial Electric Vehicles Sven Rzepka 1, Alexander Ott 1, Flrian Hilbert 2, Dag Anderssn 3 1,, Technlgie-Campus

More information

Techniques for Developing Large Scale Fuzzy Logic Systems

Techniques for Developing Large Scale Fuzzy Logic Systems Techniques fr Develping Large Scale Fuzzy Lgic Systems Jn C. Ervin Apgee Research Grup Ls Oss, CA 93402, USA apgee@alumni.calply.edu Sema E. Alptekin Califrnia Plytechnic State University San Luis Obisp,

More information

MODULE FOUR. This module addresses functions. SC Academic Elementary Algebra Standards:

MODULE FOUR. This module addresses functions. SC Academic Elementary Algebra Standards: MODULE FOUR This mdule addresses functins SC Academic Standards: EA-3.1 Classify a relatinship as being either a functin r nt a functin when given data as a table, set f rdered pairs, r graph. EA-3.2 Use

More information

On Huntsberger Type Shrinkage Estimator for the Mean of Normal Distribution ABSTRACT INTRODUCTION

On Huntsberger Type Shrinkage Estimator for the Mean of Normal Distribution ABSTRACT INTRODUCTION Malaysian Jurnal f Mathematical Sciences 4(): 7-4 () On Huntsberger Type Shrinkage Estimatr fr the Mean f Nrmal Distributin Department f Mathematical and Physical Sciences, University f Nizwa, Sultanate

More information

ENSC Discrete Time Systems. Project Outline. Semester

ENSC Discrete Time Systems. Project Outline. Semester ENSC 49 - iscrete Time Systems Prject Outline Semester 006-1. Objectives The gal f the prject is t design a channel fading simulatr. Upn successful cmpletin f the prject, yu will reinfrce yur understanding

More information

Checking the resolved resonance region in EXFOR database

Checking the resolved resonance region in EXFOR database Checking the reslved resnance regin in EXFOR database Gttfried Bertn Sciété de Calcul Mathématique (SCM) Oscar Cabells OECD/NEA Data Bank JEFF Meetings - Sessin JEFF Experiments Nvember 0-4, 017 Bulgne-Billancurt,

More information

ENG2410 Digital Design Sequential Circuits: Part B

ENG2410 Digital Design Sequential Circuits: Part B ENG24 Digital Design Sequential Circuits: Part B Fall 27 S. Areibi Schl f Engineering University f Guelph Analysis f Sequential Circuits Earlier we learned hw t analyze cmbinatinal circuits We will extend

More information

The blessing of dimensionality for kernel methods

The blessing of dimensionality for kernel methods fr kernel methds Building classifiers in high dimensinal space Pierre Dupnt Pierre.Dupnt@ucluvain.be Classifiers define decisin surfaces in sme feature space where the data is either initially represented

More information

CS 477/677 Analysis of Algorithms Fall 2007 Dr. George Bebis Course Project Due Date: 11/29/2007

CS 477/677 Analysis of Algorithms Fall 2007 Dr. George Bebis Course Project Due Date: 11/29/2007 CS 477/677 Analysis f Algrithms Fall 2007 Dr. Gerge Bebis Curse Prject Due Date: 11/29/2007 Part1: Cmparisn f Srting Algrithms (70% f the prject grade) The bjective f the first part f the assignment is

More information

Homework 1 AERE355 Fall 2017 Due 9/1(F) NOTE: If your solution does not adhere to the format described in the syllabus, it will be grade as zero.

Homework 1 AERE355 Fall 2017 Due 9/1(F) NOTE: If your solution does not adhere to the format described in the syllabus, it will be grade as zero. 1 Hmerk 1 AERE355 Fall 217 Due 9/1(F) Name NOE: If yur slutin des nt adhere t the frmat described in the syllabus, it ill be grade as zer. Prblem 1(25pts) In the altitude regin h 1km, e have the flling

More information

C Soldering Temperature, for 10 seconds 300 (1.6mm from case )

C Soldering Temperature, for 10 seconds 300 (1.6mm from case ) l l l l l l Advanced Prcess Technlgy Dynamic dv/dt Rating 175 C Operating Temperature Fast Switching Fully Avalanche Rated Lead-Free Descriptin Fifth Generatin HEXFET Pwer MOSFETs frm Internatinal Rectifier

More information

Mass Production Rate. Solid Propellant Burning Rate

Mass Production Rate. Solid Propellant Burning Rate Mass Prductin Rate Prpellant cnverted t gas at rate given by m r (VI.) s b (Surface) Regressin Rate r r dx smetimes r b standard mdel (Burning Rate Law r St. Rbert s Law, als Vielle s Law) n (VI.2) r ap

More information

COUPLED THERMO-MECHANICAL ANALYSES OF DYNAMICALLY LOADED RUBBER CYLINDERS 1

COUPLED THERMO-MECHANICAL ANALYSES OF DYNAMICALLY LOADED RUBBER CYLINDERS 1 COUPLED THERMO-MECHANICAL ANALYSES OF DYNAMICALLY LOADED RUBBER CYLINDERS Arthur R. Jhnsn and Tzi-Kang Chen Army Research Labratry, MS 24 Analytical and Cmputatinal Methds Branch NASA Langley Research

More information

Oscillator. Introduction of Oscillator Linear Oscillator. Stability. Wien Bridge Oscillator RC Phase-Shift Oscillator LC Oscillator

Oscillator. Introduction of Oscillator Linear Oscillator. Stability. Wien Bridge Oscillator RC Phase-Shift Oscillator LC Oscillator Oscillatr Intrductin f Oscillatr Linear Oscillatr Wien Bridge Oscillatr Phase-Shift Oscillatr L Oscillatr Stability Oscillatrs Oscillatin: an effect that repeatedly and regularly fluctuates abut the mean

More information

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents Supplementary Curse Ntes Adding and Subtracting AC Vltages and Currents As mentined previusly, when cmbining DC vltages r currents, we nly need t knw the plarity (vltage) and directin (current). In the

More information

Modeling the Nonlinear Rheological Behavior of Materials with a Hyper-Exponential Type Function

Modeling the Nonlinear Rheological Behavior of Materials with a Hyper-Exponential Type Function www.ccsenet.rg/mer Mechanical Engineering Research Vl. 1, N. 1; December 011 Mdeling the Nnlinear Rhelgical Behavir f Materials with a Hyper-Expnential Type Functin Marc Delphin Mnsia Département de Physique,

More information

1. Transformer A transformer is used to obtain the approximate output voltage of the power supply. The output of the transformer is still AC.

1. Transformer A transformer is used to obtain the approximate output voltage of the power supply. The output of the transformer is still AC. PHYSIS 536 Experiment 4: D Pwer Supply I. Intrductin The prcess f changing A t D is investigated in this experiment. An integrated circuit regulatr makes it easy t cnstruct a high-perfrmance vltage surce

More information

SURVIVAL ANALYSIS WITH SUPPORT VECTOR MACHINES

SURVIVAL ANALYSIS WITH SUPPORT VECTOR MACHINES 1 SURVIVAL ANALYSIS WITH SUPPORT VECTOR MACHINES Wlfgang HÄRDLE Ruslan MORO Center fr Applied Statistics and Ecnmics (CASE), Humbldt-Universität zu Berlin Mtivatin 2 Applicatins in Medicine estimatin f

More information

Department of Electrical Engineering, University of Waterloo. Introduction

Department of Electrical Engineering, University of Waterloo. Introduction Sectin 4: Sequential Circuits Majr Tpics Types f sequential circuits Flip-flps Analysis f clcked sequential circuits Mre and Mealy machines Design f clcked sequential circuits State transitin design methd

More information

Model KH13 Pressure Transmitter

Model KH13 Pressure Transmitter Cat.N. B- Mdel KH Pressure Transmitter OUTLINE This is a simple cnstructin pressure transmitter equipped with an evaprative-cndensing-type semicnductr strain gauge at the pressure sensing prtin. A stainless

More information

PHYS 314 HOMEWORK #3

PHYS 314 HOMEWORK #3 PHYS 34 HOMEWORK #3 Due : 8 Feb. 07. A unifrm chain f mass M, lenth L and density λ (measured in k/m) hans s that its bttm link is just tuchin a scale. The chain is drpped frm rest nt the scale. What des

More information

REGRESSION DISCONTINUITY (RD) Technical Track Session V. Dhushyanth Raju Julieta Trias The World Bank

REGRESSION DISCONTINUITY (RD) Technical Track Session V. Dhushyanth Raju Julieta Trias The World Bank REGRESSION DISCONTINUITY (RD) Technical Track Sessin V Dhushyanth Raju Julieta Trias The Wrld Bank These slides cnstitute supprting material t the Impact Evaluatin in Practice Handbk : Gertler, P. J.;

More information

Mathematics Methods Units 1 and 2

Mathematics Methods Units 1 and 2 Mathematics Methds Units 1 and 2 Mathematics Methds is an ATAR curse which fcuses n the use f calculus and statistical analysis. The study f calculus prvides a basis fr understanding rates f change in

More information

Part a: Writing the nodal equations and solving for v o gives the magnitude and phase response: tan ( 0.25 )

Part a: Writing the nodal equations and solving for v o gives the magnitude and phase response: tan ( 0.25 ) + - Hmewrk 0 Slutin ) In the circuit belw: a. Find the magnitude and phase respnse. b. What kind f filter is it? c. At what frequency is the respnse 0.707 if the generatr has a ltage f? d. What is the

More information

Description Absolute Maximum Ratings Parameter Max. Units Thermal Resistance Parameter Typ. Max. Units

Description Absolute Maximum Ratings Parameter Max. Units Thermal Resistance Parameter Typ. Max. Units l Advanced Prcess Technlgy l Dynamic dv/dt Rating l 175 C Operating Temperature l Fast Switching l Fully Avalanche Rated l Lead-Free Descriptin Fifth Generatin HEXFETs frm Internatinal Rectifier utilize

More information

Current/voltage-mode third order quadrature oscillator employing two multiple outputs CCIIs and grounded capacitors

Current/voltage-mode third order quadrature oscillator employing two multiple outputs CCIIs and grounded capacitors Indian Jurnal f Pure & Applied Physics Vl. 49 July 20 pp. 494-498 Current/vltage-mde third rder quadrature scillatr emplying tw multiple utputs CCIIs and grunded capacitrs Jiun-Wei Hrng Department f Electrnic

More information

5 th grade Common Core Standards

5 th grade Common Core Standards 5 th grade Cmmn Cre Standards In Grade 5, instructinal time shuld fcus n three critical areas: (1) develping fluency with additin and subtractin f fractins, and develping understanding f the multiplicatin

More information

SAP Note Missing documentation on enhancement MDR10001

SAP Note Missing documentation on enhancement MDR10001 SAP Nte 303613 - Missing dcumentatin n enhancement Nte Language: English Versin: 2 Validity: Valid Since 31.07.2000 Summary Symptm Missing dcumentatin n SAP enhancement Additinal key wrds CMOD, rder quantity

More information

Sections 15.1 to 15.12, 16.1 and 16.2 of the textbook (Robbins-Miller) cover the materials required for this topic.

Sections 15.1 to 15.12, 16.1 and 16.2 of the textbook (Robbins-Miller) cover the materials required for this topic. Tpic : AC Fundamentals, Sinusidal Wavefrm, and Phasrs Sectins 5. t 5., 6. and 6. f the textbk (Rbbins-Miller) cver the materials required fr this tpic.. Wavefrms in electrical systems are current r vltage

More information