ENG2410 Digital Design Sequential Circuits: Part B

Size: px
Start display at page:

Download "ENG2410 Digital Design Sequential Circuits: Part B"

Transcription

1 ENG24 Digital Design Sequential Circuits: Part B Fall 27 S. Areibi Schl f Engineering University f Guelph Analysis f Sequential Circuits Earlier we learned hw t analyze cmbinatinal circuits We will extend analysis t synchrnus sequential We ll use. State tables and 2. State diagrams 4 Week #7 Tpics Review: Flip Flps Sequential Circuit Analysis Sequential Circuit Design Designing with D Flip-Flps Designing with JK Flip-Flps Designing with T Flip-Flps VHDL Representatins Examples 2 5 Resurces Analysis f Sequential Circuits Chapter #6, Man Sectins 6.4 Sequential Circuit Analysis 6.5 Sequential Circuit Design 6.7 VHDL Representatin f Sequential circuits The behavir f a sequential circuit is determined frm: Inputs, Outputs, Present state f the circuit. The analysis f a sequential circuit cnsists f: Obtaining a suitable descriptin that demnstrates the time sequence f inputs, utputs and states (STATE DIAGRAM). 3 6 Schl f Engineering

2 Step #: Derive Input Equatins Can describe inputs t FF with lgic equatins J A = ( B + YC) Time is Implied Nte that previus circuit used the Present state (A, B,..) t determine next state State and inputs t determine utput Synchrnus circuit When are transitins? K A = ( YB + C) D A = ( A + B ) D B = A Y = ( A+ B) 7 Anther Example D A = ( A + B ) Step #2: State Table Similar t truth table with state added A sequential circuit with `m FFs and `n inputs needs 2 m+n rws in state table. Next State and utput are determined using? (input equatins) D B = A Y = ( A + B) 8 D A = ( A + B ) D B = A Y = ( A + B) Input Equatins Step#3: State Diagram Mealy Mdel An alternative representatin t State Table Input Output Input Output The input equatins. Imply the type f flip-flp frm the letter symbls, 2. Fully specify the cmbinatinal circuit that drives the flip-flps. / / Input/Output 9 2 Schl f Engineering 2

3 Sequential Circuit Types State Table vs. Diagram Mre mdel utputs depend n states nly. Mealy mdel utputs depend n inputs & states 3 Prvides same infrmatin Table is perhaps easier t fill in frm descriptin Diagram is easier fr understanding and writing cde Analysisfr sequential circuits that emplys D flip flpsis easy. Why? Because the next state values are btained directly frm the input equatins. 6 State Diagram: Mre Analysis with JK Flip Flps Alternative representatin fr state table State/Output Inputs Fr circuits with ther types f flip flps such as JK, the next state values are btained by fllwing the tw step prcedure:. Obtain the binary values f each flip-flp input equatin in terms f the present state and input variables. 2. Use the crrespnding flip-flp characteristic table t determine the next state. 4 7 Mre vs. Mealy Machine Analysis with JK Flip Flps Mre Machine: Easy t understand and easy t cde. Might requires mre states (thus mre hardware). Mealy Machine: Mre cmplex since utputs are a functin f bth the state and input. Requires less states in mst cases, therefre less cmpnents. Chice f a mdel depends n the applicatin and persnal preference. Yu can transfrm a Mealy Machine t a Mre Machine and vice versa. J A = B J B = x K A = Bx K B = A x + Ax = A x 5 8 Schl f Engineering 3

4 JK Analysis: State Table Analysis vs. Design J A = B K A = Bx J B = x K B = A x + Ax = A x Flip Flp Inputs I. Use the Input equatins t determine the FF inputs. II. Use the FF inputs and Table t determine the next state. JK Characteristic Table The analysis f sequential circuits starts frm a circuit diagram and culminates in a state table r state diagram. The design f a sequential circuit starts frm a set f specificatins and we shuld btain the state diagram and finally the lgic diagram JK Analysis State Table Design Prcedure J A = B J B = x K A = Bx K B = A x + Ax = A x Flip Flp Inputs Design starts frm a specificatin and results in a lgic diagram r a list f Blean functins. The steps t be fllwed are:. Derive a state diagram 2. Reduce the number f states 3. Assign binary values t the states 4. Obtain the binary cded state table 5. Chse the type f flip flps t be used 6. Use Excitatin Tables t derive state table 7. Derive the simplified flip flp input equatins and utput equatins 8. Draw the lgic diagram 2 23 JK Analysis: State Diagram Sequential Circuit Design Remember that a synchrnus sequential circuit is made up f flip flps and cmbinatinal gates. Part f the design is t chse the flip-flp type and cmbinatinal circuit structure which, tgether with the flip-flps prduce a circuit that fulfills the stated specificatin. Hw many FLIP FLOPS?. The number f flip-flps is determined frm the number f states in the circuit 2. n flip-flps can represent up t 2 n binary states. 3. Examples:. 2 states requires a single Flip Flp 2. 4 states requires tw flip flps 3. 8 states requires three flip flps 4. 7 states requires again three flip flps 2 24 Schl f Engineering 4

5 Designing with D Flip-Flps Designing with D Flip-Flps Design a clcked sequential circuit that perates accrding t the state diagram. Use D Flip Flps Hwever, we have t minimize the expressinin a similar way used fr cmbinatinal lgic design! Synthesizing Using D Flip Flps Designing with D Flip-Flps The next step is t create a state table and then select tw D flip flps t represent the fur states, labeling their utputs as A and B. There is ne input, x, and ne utput, y, representing the input sequence and the utput value respectively. Remember that the Excitatin Table (characteristic equatin) f the D flip flp is Q(t + ) = D Q This means that the next-state values in the state table specify the D input cnditin fr the flip flp Designing with D Flip-Flps Designing with D Flip-Flps Input equatins can be btained directly frm the table using minterms: A(t + ) = D A (A, B, x) = m(2,4,5,6) B(t + ) = D B (A, B, x) = m(,3,5,6) D A = AB + B D B = A + B + AB Y = B 27 3 Schl f Engineering 5

6 A Sequence Detectr Blean Minimizatin Design a circuit that detects a sequence f three nes. Use Mre Machine. I. Create the state diagram K-Maps can be used t minimize the input equatins, resulting in D A = Ax + Bx D B = Ax + B x Y = AB Input Circuit Detects ` at input Output Mre Machine 3 34 Synthesizing Using D Flip Flps Lgic Diagram f Sequence Detectr II. III. IV. The next step is t create a state table and then select tw D flip flps t represent the fur states, labeling their utputs as A and B. There is ne input, x, and ne utput, y, representing the input sequence and the utput value respectively. The utput y is ` nly when we detect the input sequence f ` State Table fr Sequence Detectr Sequential Circuits with different Flip Flps (JK, T) The design f sequential circuits ther than D type flip flps is cmplicated by the fact that input equatins must be derived indirectly frm the state table. It is necessary t derive a functinal relatinship between the state table and the input equatins. Input equatins can be btained directly frm the table using minterms: A(t + ) = D A (A, B, x) = m(3, 5, 7) B(t + ) = DB(A, B, x) = m(, 5, 7) y(a, B, x) = m(6, 7) Schl f Engineering 6

7 Excitatin Table Example: JK Synthesis Step #: Obtain State Table During the design, we usually knw the transitin frm present t next state but we need t find the flip flp input cnditins that will cause the required transitin. We need a table that lists the required inputs fr a given change f state, called an excitatin table. Example: N utput 37 4 Excitatin Tables JK Synthesis: State Table Characteristic Table Excitatin Table Present State Next State Characteristic Table Excitatin Table 38 4 Synthesis Using JK Flip Flps Cnt.. Example JK Synthesis Step #2: Use K-Maps Synthesis f circuits with JK flip flps is the same as with D flip flps Except that the input equatins must be evaluated frm the present-state t the next-state transitin derived frm the excitatin table Schl f Engineering 7

8 Cnt.. Example JK Synthesis Synthesis Using T Flip Flps A Bx JA = B Synthesis f circuits with T flip flps is the same as with JK flip flps except that the input equatins must be evaluated frm the present-state t the next-state transitin derived frm the T excitatin table Cnt.. Example JK Synthesis Synthesis Using T Flip Flps Design a cunter that cunts frm t and then back t again. Cnstraint: Use T Flip-Flps Cnt.. JK Synthesis Lgic Diagram A Cunter using T Flip Flps Ntice the nly input is the clck! Schl f Engineering 8

9 Example: T Flip Flp Synthesis Tw Dimensinal Tables Same thing, different layut Cnt.. T Flip Flps Example Sequence Recgnizer (VHDL) By using K-maps we can minimize the flip flp input equatins. T A 2 T A Circuit has input: Wand utput: Z Recgnizes sequence f n W Specifically, if W has been and next bit is, make Z high Design a Mre and Mealy Machines T A W Sequence Recgnizer Z 5 53 One Dimensinal Tables Sequence Recgnizer (Mealy) w=/z= A B w=/z= w=/z= w=/z= Clk: t t t2 t3 t4 t5 t6 t7 t8 t9 t w: z: 5 54 Schl f Engineering 9

10 Mealy: Implementatin Mre: Implementatin A/z= w= B/Z= w= w= w=/z= w= w= A B C/z= w=/z= w=/z= w=/z= w= Clk: t t t2 t3 t4 t5 t6 t7 t8 t9 t Clk : t t t2 t3 t4 t5 t6 t7 t8 t9 t w: w: z: z: (Mealy Machine f Sequence Recgnizer) library IEEE; clk_prcess: prcess(reset,clk) use IEEE.std_lgic_64.all; begin if reset = then -- Check fr reset and initialize state entity SeqRec_Mealy is present_state <= A; prt (reset, clk, w: in std_lgic; Elsif (rising_edge(clk)) then -- wait until the rising edge z: ut std_lgic); present_state <= next_state; end entity SeqRec_Mealy; end prcess clk_prcess; architecture behaviral f SeqRec_Mealy is type statetype is (A, B); -- define new type end architecture behaviral; signal present_state, next_state: statetype; Begin next_ut_prcess: prcess(present_state,w) is begin case present_state is -- depending upn current state when A => -- set utput signals and next state if w = '' then next_state <= A; z <= '; else next_state <= B; z <= ''; w=/z= when B => if w = '' then A B next_state <= B; z <= '; else w=/z= w=/z= w=/z= next_state <= A; z <= '; end case; end prcess next_ut_prcess; (Mre Machine f Sequence Recgnizer) next_state_prcess: prcess( present_state, w) is library IEEE; begin use IEEE.std_lgic_64.all; case present_state is -- depending upn current state when A => -- set next state entity SeqRec_Mre is if w = '' then prt (reset, clk, w: in std_lgic; next_state <= A; z: ut std_lgic); else end entity SeqRec_Mre; next_state <= B; architecture behaviral f SeqRec_Mre is when B => type statetype is (A, B,C); -- define new type if w = ' then signal present_state, next_state: statetype; next_state <= A; Begin else next_state <= C; clk_prcess: prcess( reset, clk) begin when C => if reset = then -- Check fr reset and initialize state if w = then present_state <= A; next_state <= A; Elsif (rising_edge(clk)) then -- wait until the rising edge else present_state <= next_state; next_state <= C; end case; end prcess clk_prcess; end prcess next_state_prcess; utput_prcess: prcess( present_state) is w= begin A/z= B/Z= case present_state is -- depending upn current state when A => -- set utput signals w= w= z<= ; when B => z<= ; w= w= when C => C/z= z<= ; end case; end prcess utput_prcess; w= End architecture behaviral, 59 Sequence Recgnizer (Mre) w= A/z= B/Z= w= w= w= w= C/z= w= Clk: t t t2 t3 t4 t5 t6 t7 t8 t9 t w: z: 57 Schl f Engineering

11 T Flip Flp Analysis Analysis f a sequential circuit with T flip flps fllws the same prcedure utlined fr JK flip flps. The next state values in the state table can be btained by using the characteristic table r the characteristic equatin Q(t + ) = T Q = T Q + TQ 6 T Flip Flp Analysis Example x T A y T R R B T A = Bx T B = x Y = AB CLK 62 T Flip Flp Analysis State Table T A = Bx T B = x Y = AB A(t + ) = T A A = Bx A B(t + ) = T B B = x B 63 Schl f Engineering

ENG2410 Digital Design Sequential Circuits: Part A

ENG2410 Digital Design Sequential Circuits: Part A ENG2410 Digital Design Sequential Circuits: Part A Fall 2017 S. Areibi Schl f Engineering University f Guelph Week #6 Tpics Sequential Circuit Definitins Latches Flip-Flps Delays in Sequential Circuits

More information

Department of Electrical Engineering, University of Waterloo. Introduction

Department of Electrical Engineering, University of Waterloo. Introduction Sectin 4: Sequential Circuits Majr Tpics Types f sequential circuits Flip-flps Analysis f clcked sequential circuits Mre and Mealy machines Design f clcked sequential circuits State transitin design methd

More information

ENG2410 Digital Design Arithmetic Circuits

ENG2410 Digital Design Arithmetic Circuits ENG24 Digital Design Arithmetic Circuits Fall 27 S. Areibi Schl f Engineering University f Guelph Recall: Arithmetic -- additin Binary additin is similar t decimal arithmetic N carries + + Remember: +

More information

ENG2410 Digital Design Sequencing and Control

ENG2410 Digital Design Sequencing and Control ENG24 igital esign equencing and ntrl atapath cnsists f: Parts f PU Registers, Multiplers, dders, ubtractrs and lgic t perfrm peratins n data (mb Lgic) ntrl unit Generates signals t cntrl data-path ccepts

More information

Medium Scale Integrated (MSI) devices [Sections 2.9 and 2.10]

Medium Scale Integrated (MSI) devices [Sections 2.9 and 2.10] EECS 270, Winter 2017, Lecture 3 Page 1 f 6 Medium Scale Integrated (MSI) devices [Sectins 2.9 and 2.10] As we ve seen, it s smetimes nt reasnable t d all the design wrk at the gate-level smetimes we just

More information

ECE 545 Project Deliverables

ECE 545 Project Deliverables ECE 545 Prject Deliverables Tp-level flder: _ Secnd-level flders: 1_assumptins 2_blck_diagrams 3_interface 4_ASM_charts 5_surce_cde 6_verificatin 7_timing_analysis 8_results

More information

CHAPTER 3 INEQUALITIES. Copyright -The Institute of Chartered Accountants of India

CHAPTER 3 INEQUALITIES. Copyright -The Institute of Chartered Accountants of India CHAPTER 3 INEQUALITIES Cpyright -The Institute f Chartered Accuntants f India INEQUALITIES LEARNING OBJECTIVES One f the widely used decisin making prblems, nwadays, is t decide n the ptimal mix f scarce

More information

Differentiation Applications 1: Related Rates

Differentiation Applications 1: Related Rates Differentiatin Applicatins 1: Related Rates 151 Differentiatin Applicatins 1: Related Rates Mdel 1: Sliding Ladder 10 ladder y 10 ladder 10 ladder A 10 ft ladder is leaning against a wall when the bttm

More information

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax .7.4: Direct frequency dmain circuit analysis Revisin: August 9, 00 5 E Main Suite D Pullman, WA 9963 (509) 334 6306 ice and Fax Overview n chapter.7., we determined the steadystate respnse f electrical

More information

Synchronous Motor V-Curves

Synchronous Motor V-Curves Synchrnus Mtr V-Curves 1 Synchrnus Mtr V-Curves Intrductin Synchrnus mtrs are used in applicatins such as textile mills where cnstant speed peratin is critical. Mst small synchrnus mtrs cntain squirrel

More information

CHAPTER 24: INFERENCE IN REGRESSION. Chapter 24: Make inferences about the population from which the sample data came.

CHAPTER 24: INFERENCE IN REGRESSION. Chapter 24: Make inferences about the population from which the sample data came. MATH 1342 Ch. 24 April 25 and 27, 2013 Page 1 f 5 CHAPTER 24: INFERENCE IN REGRESSION Chapters 4 and 5: Relatinships between tw quantitative variables. Be able t Make a graph (scatterplt) Summarize the

More information

Building to Transformations on Coordinate Axis Grade 5: Geometry Graph points on the coordinate plane to solve real-world and mathematical problems.

Building to Transformations on Coordinate Axis Grade 5: Geometry Graph points on the coordinate plane to solve real-world and mathematical problems. Building t Transfrmatins n Crdinate Axis Grade 5: Gemetry Graph pints n the crdinate plane t slve real-wrld and mathematical prblems. 5.G.1. Use a pair f perpendicular number lines, called axes, t define

More information

MODULE 1. e x + c. [You can t separate a demominator, but you can divide a single denominator into each numerator term] a + b a(a + b)+1 = a + b

MODULE 1. e x + c. [You can t separate a demominator, but you can divide a single denominator into each numerator term] a + b a(a + b)+1 = a + b . REVIEW OF SOME BASIC ALGEBRA MODULE () Slving Equatins Yu shuld be able t slve fr x: a + b = c a d + e x + c and get x = e(ba +) b(c a) d(ba +) c Cmmn mistakes and strategies:. a b + c a b + a c, but

More information

NUMBERS, MATHEMATICS AND EQUATIONS

NUMBERS, MATHEMATICS AND EQUATIONS AUSTRALIAN CURRICULUM PHYSICS GETTING STARTED WITH PHYSICS NUMBERS, MATHEMATICS AND EQUATIONS An integral part t the understanding f ur physical wrld is the use f mathematical mdels which can be used t

More information

Physical Layer: Outline

Physical Layer: Outline 18-: Intrductin t Telecmmunicatin Netwrks Lectures : Physical Layer Peter Steenkiste Spring 01 www.cs.cmu.edu/~prs/nets-ece Physical Layer: Outline Digital Representatin f Infrmatin Characterizatin f Cmmunicatin

More information

A Matrix Representation of Panel Data

A Matrix Representation of Panel Data web Extensin 6 Appendix 6.A A Matrix Representatin f Panel Data Panel data mdels cme in tw brad varieties, distinct intercept DGPs and errr cmpnent DGPs. his appendix presents matrix algebra representatins

More information

Chapter 5 Synchronous Sequential Logic

Chapter 5 Synchronous Sequential Logic Chapter 5 Synchronous Sequential Logic Sequential circuit: A circuit that includes memory elements. In this case the output depends not only on the current input but also on the past inputs. Memory A synchronous

More information

, which yields. where z1. and z2

, which yields. where z1. and z2 The Gaussian r Nrmal PDF, Page 1 The Gaussian r Nrmal Prbability Density Functin Authr: Jhn M Cimbala, Penn State University Latest revisin: 11 September 13 The Gaussian r Nrmal Prbability Density Functin

More information

2. A Table (Partially Completed) With a list of Basic Identities of. Boolean Algebra is appended to the end of the examination booklet.

2. A Table (Partially Completed) With a list of Basic Identities of. Boolean Algebra is appended to the end of the examination booklet. I -. ; I-.,. -. SCUji Instructr: Lcatin: Shawki M, Areibi. DOG (RICH 2520)/SAS Date: Time: Saturday, Octber 29th 2016 _ 11:30-1:00 PM Duratin: 90 minutes. Type: R-C1Clsed Bk." Instructins: L There are

More information

Sequential Synchronous Circuit Analysis

Sequential Synchronous Circuit Analysis Sequential Synchronous Circuit Analysis General Model Current State at time (t) is stored in an array of flip-flops. Next State at time (t+1) is a Boolean function of State and Inputs. Outputs at time

More information

Sections 15.1 to 15.12, 16.1 and 16.2 of the textbook (Robbins-Miller) cover the materials required for this topic.

Sections 15.1 to 15.12, 16.1 and 16.2 of the textbook (Robbins-Miller) cover the materials required for this topic. Tpic : AC Fundamentals, Sinusidal Wavefrm, and Phasrs Sectins 5. t 5., 6. and 6. f the textbk (Rbbins-Miller) cver the materials required fr this tpic.. Wavefrms in electrical systems are current r vltage

More information

CONSTRUCTING STATECHART DIAGRAMS

CONSTRUCTING STATECHART DIAGRAMS CONSTRUCTING STATECHART DIAGRAMS The fllwing checklist shws the necessary steps fr cnstructing the statechart diagrams f a class. Subsequently, we will explain the individual steps further. Checklist 4.6

More information

SUPPLEMENTARY MATERIAL GaGa: a simple and flexible hierarchical model for microarray data analysis

SUPPLEMENTARY MATERIAL GaGa: a simple and flexible hierarchical model for microarray data analysis SUPPLEMENTARY MATERIAL GaGa: a simple and flexible hierarchical mdel fr micrarray data analysis David Rssell Department f Bistatistics M.D. Andersn Cancer Center, Hustn, TX 77030, USA rsselldavid@gmail.cm

More information

ECE 2100 Circuit Analysis

ECE 2100 Circuit Analysis ECE 2100 Circuit Analysis Lessn 25 Chapter 9 & App B: Passive circuit elements in the phasr representatin Daniel M. Litynski, Ph.D. http://hmepages.wmich.edu/~dlitynsk/ ECE 2100 Circuit Analysis Lessn

More information

Section 6-2: Simplex Method: Maximization with Problem Constraints of the Form ~

Section 6-2: Simplex Method: Maximization with Problem Constraints of the Form ~ Sectin 6-2: Simplex Methd: Maximizatin with Prblem Cnstraints f the Frm ~ Nte: This methd was develped by Gerge B. Dantzig in 1947 while n assignment t the U.S. Department f the Air Frce. Definitin: Standard

More information

ECE 2100 Circuit Analysis

ECE 2100 Circuit Analysis ECE 00 Circuit Analysis Lessn 6 Chapter 4 Sec 4., 4.5, 4.7 Series LC Circuit C Lw Pass Filter Daniel M. Litynski, Ph.D. http://hmepages.wmich.edu/~dlitynsk/ ECE 00 Circuit Analysis Lessn 5 Chapter 9 &

More information

Lecture (08) Synchronous Sequential Logic

Lecture (08) Synchronous Sequential Logic Lecture (08) Synchronous Sequential Logic By: Dr. Ahmed ElShafee ١ Dr. Ahmed ElShafee, ACU : Spring 2018, CSE303 Logic design II Analysis of Clocked Sequential Circuits The behavior of a clocked sequential

More information

MODULAR DECOMPOSITION OF THE NOR-TSUM MULTIPLE-VALUED PLA

MODULAR DECOMPOSITION OF THE NOR-TSUM MULTIPLE-VALUED PLA MODUAR DECOMPOSITION OF THE NOR-TSUM MUTIPE-AUED PA T. KAGANOA, N. IPNITSKAYA, G. HOOWINSKI k Belarusian State University f Infrmatics and Radielectrnics, abratry f Image Prcessing and Pattern Recgnitin.

More information

SPH3U1 Lesson 06 Kinematics

SPH3U1 Lesson 06 Kinematics PROJECTILE MOTION LEARNING GOALS Students will: Describe the mtin f an bject thrwn at arbitrary angles thrugh the air. Describe the hrizntal and vertical mtins f a prjectile. Slve prjectile mtin prblems.

More information

Chapter 4 Part 2 Sequential Circuits

Chapter 4 Part 2 Sequential Circuits University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and Yu Hen Hu Spring 2002 Chapter 4 Part 2 Sequential Circuits Originals by: Charles R. Kime and Tom Kamisnski

More information

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Indian Institute of Technology Jodhpur, Year 2017-2018 Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

Pattern Recognition 2014 Support Vector Machines

Pattern Recognition 2014 Support Vector Machines Pattern Recgnitin 2014 Supprt Vectr Machines Ad Feelders Universiteit Utrecht Ad Feelders ( Universiteit Utrecht ) Pattern Recgnitin 1 / 55 Overview 1 Separable Case 2 Kernel Functins 3 Allwing Errrs (Sft

More information

Subject description processes

Subject description processes Subject representatin 6.1.2. Subject descriptin prcesses Overview Fur majr prcesses r areas f practice fr representing subjects are classificatin, subject catalging, indexing, and abstracting. The prcesses

More information

ALE 21. Gibbs Free Energy. At what temperature does the spontaneity of a reaction change?

ALE 21. Gibbs Free Energy. At what temperature does the spontaneity of a reaction change? Name Chem 163 Sectin: Team Number: ALE 21. Gibbs Free Energy (Reference: 20.3 Silberberg 5 th editin) At what temperature des the spntaneity f a reactin change? The Mdel: The Definitin f Free Energy S

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 401 Digital Signal Prcessing Prf. Mark Fwler Intrductin Nte Set #1 ading Assignment: Ch. 1 f Prakis & Manlakis 1/13 Mdern systems generally DSP Scenari get a cntinuus-time signal frm a sensr a cnt.-time

More information

A Novel Isolated Buck-Boost Converter

A Novel Isolated Buck-Boost Converter vel slated uck-st Cnverter S-Sek Kim *,WOO-J JG,JOOG-HO SOG, Ok-K Kang, and Hee-Jn Kim ept. f Electrical Eng., Seul atinal University f Technlgy, Krea Schl f Electrical and Cmputer Eng., Hanyang University,

More information

MODULE FOUR. This module addresses functions. SC Academic Elementary Algebra Standards:

MODULE FOUR. This module addresses functions. SC Academic Elementary Algebra Standards: MODULE FOUR This mdule addresses functins SC Academic Standards: EA-3.1 Classify a relatinship as being either a functin r nt a functin when given data as a table, set f rdered pairs, r graph. EA-3.2 Use

More information

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink American Jurnal f Engineering Research (AJER) 016 American Jurnal f Engineering Research (AJER) e-issn: 30-0847 p-issn : 30-0936 Vlume-5, Issue-, pp-9-36 www.ajer.rg Research Paper Open Access Design and

More information

Analysis and Design of Sequential Circuits: Examples

Analysis and Design of Sequential Circuits: Examples COSC3410 Analysis and Design of Sequential Circuits: Examples J. C. Huang Department of Computer Science University of Houston Sequential machine slide 1 inputs combinational circuit outputs memory elements

More information

Determining the Accuracy of Modal Parameter Estimation Methods

Determining the Accuracy of Modal Parameter Estimation Methods Determining the Accuracy f Mdal Parameter Estimatin Methds by Michael Lee Ph.D., P.E. & Mar Richardsn Ph.D. Structural Measurement Systems Milpitas, CA Abstract The mst cmmn type f mdal testing system

More information

Chemistry 20 Lesson 11 Electronegativity, Polarity and Shapes

Chemistry 20 Lesson 11 Electronegativity, Polarity and Shapes Chemistry 20 Lessn 11 Electrnegativity, Plarity and Shapes In ur previus wrk we learned why atms frm cvalent bnds and hw t draw the resulting rganizatin f atms. In this lessn we will learn (a) hw the cmbinatin

More information

Sequential Circuit Analysis

Sequential Circuit Analysis Sequential Circuit Analysis Last time we started talking about latches and flip-flops, which are basic one-bit memory units. Today we ll talk about sequential circuit analysis and design. First, we ll

More information

AIP Logic Chapter 4 Notes

AIP Logic Chapter 4 Notes AIP Lgic Chapter 4 Ntes Sectin 4.1 Sectin 4.2 Sectin 4.3 Sectin 4.4 Sectin 4.5 Sectin 4.6 Sectin 4.7 4.1 The Cmpnents f Categrical Prpsitins There are fur types f categrical prpsitins. Prpsitin Letter

More information

Application Of Mealy Machine And Recurrence Relations In Cryptography

Application Of Mealy Machine And Recurrence Relations In Cryptography Applicatin Of Mealy Machine And Recurrence Relatins In Cryptgraphy P. A. Jytirmie 1, A. Chandra Sekhar 2, S. Uma Devi 3 1 Department f Engineering Mathematics, Andhra University, Visakhapatnam, IDIA 2

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 9

ELE2120 Digital Circuits and Systems. Tutorial Note 9 ELE2120 Digital Circuits and Systems Tutorial Note 9 Outline 1. Exercise(1) Sequential Circuit Analysis 2. Exercise (2) Sequential Circuit Analysis 3. Exercise (3) Sequential Circuit Analysis 4. Ref. Construction

More information

APPLICATION GUIDE (v4.1)

APPLICATION GUIDE (v4.1) 2.2.3 VitalSensrs VS-300 Sensr Management Statin Remte/Relay Guide Implementing Remte-IN/Relay-OUT Digital I/O Fieldbus Objective: Equipment: Becme familiar with the instrument wiring requirements fr the

More information

Lesson Plan. Recode: They will do a graphic organizer to sequence the steps of scientific method.

Lesson Plan. Recode: They will do a graphic organizer to sequence the steps of scientific method. Lessn Plan Reach: Ask the students if they ever ppped a bag f micrwave ppcrn and nticed hw many kernels were unppped at the bttm f the bag which made yu wnder if ther brands pp better than the ne yu are

More information

CS 477/677 Analysis of Algorithms Fall 2007 Dr. George Bebis Course Project Due Date: 11/29/2007

CS 477/677 Analysis of Algorithms Fall 2007 Dr. George Bebis Course Project Due Date: 11/29/2007 CS 477/677 Analysis f Algrithms Fall 2007 Dr. Gerge Bebis Curse Prject Due Date: 11/29/2007 Part1: Cmparisn f Srting Algrithms (70% f the prject grade) The bjective f the first part f the assignment is

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

AP Statistics Notes Unit Two: The Normal Distributions

AP Statistics Notes Unit Two: The Normal Distributions AP Statistics Ntes Unit Tw: The Nrmal Distributins Syllabus Objectives: 1.5 The student will summarize distributins f data measuring the psitin using quartiles, percentiles, and standardized scres (z-scres).

More information

Thermodynamics and Equilibrium

Thermodynamics and Equilibrium Thermdynamics and Equilibrium Thermdynamics Thermdynamics is the study f the relatinship between heat and ther frms f energy in a chemical r physical prcess. We intrduced the thermdynamic prperty f enthalpy,

More information

University of Guelph School of Engineering ENG 2410 Digital Design Fall There are 7 questions, answer all questions.

University of Guelph School of Engineering ENG 2410 Digital Design Fall There are 7 questions, answer all questions. Final Examination Instructor: Shawki M. Areibi Co-examiner: Medhat Moussa. Location: UOG Date: Wednesday, December 5th, 2007 Time: 8:30-10:30 AM Duration: 2 hours. Type: R Closed Book. Instructions: University

More information

Week-5. Sequential Circuit Design. Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA.

Week-5. Sequential Circuit Design. Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA. Week-5 Sequential Circuit Design Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA. Storing a value: SR = 00 What if S = 0 and R = 0? The equations on the

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 10

ELE2120 Digital Circuits and Systems. Tutorial Note 10 ELE2120 Digital Circuits and Systems Tutorial Note 10 Outline 1. 1. Sequential Circuit Design 2. 2. Design procedure: a complete example illustration Sequential circuit analysis & design In sequential

More information

Synchronous Sequential Logic Part I. BME208 Logic Circuits Yalçın İŞLER

Synchronous Sequential Logic Part I. BME208 Logic Circuits Yalçın İŞLER Synchronous Sequential Logic Part I BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Sequential Logic Digital circuits we have learned, so far, have been combinational no memory,

More information

Finite State Machine. By : Ali Mustafa

Finite State Machine. By : Ali Mustafa Finite State Machine By : Ali Mustafa So Far We have covered the memory elements issue and we are ready to implement the sequential circuits. We need to know how to Deal(analyze) with a sequential circuit?

More information

Linearization of the Output of a Wheatstone Bridge for Single Active Sensor. Madhu Mohan N., Geetha T., Sankaran P. and Jagadeesh Kumar V.

Linearization of the Output of a Wheatstone Bridge for Single Active Sensor. Madhu Mohan N., Geetha T., Sankaran P. and Jagadeesh Kumar V. Linearizatin f the Output f a Wheatstne Bridge fr Single Active Sensr Madhu Mhan N., Geetha T., Sankaran P. and Jagadeesh Kumar V. Dept. f Electrical Engineering, Indian Institute f Technlgy Madras, Chennai

More information

Chapter 3 Digital Transmission Fundamentals

Chapter 3 Digital Transmission Fundamentals Chapter 3 Digital Transmissin Fundamentals Errr Detectin and Crrectin Errr Cntrl Digital transmissin systems intrduce errrs, BER ranges frm 10-3 fr wireless t 10-9 fr ptical fiber Applicatins require certain

More information

Revisiting the Socrates Example

Revisiting the Socrates Example Sectin 1.6 Sectin Summary Valid Arguments Inference Rules fr Prpsitinal Lgic Using Rules f Inference t Build Arguments Rules f Inference fr Quantified Statements Building Arguments fr Quantified Statements

More information

Weathering. Title: Chemical and Mechanical Weathering. Grade Level: Subject/Content: Earth and Space Science

Weathering. Title: Chemical and Mechanical Weathering. Grade Level: Subject/Content: Earth and Space Science Weathering Title: Chemical and Mechanical Weathering Grade Level: 9-12 Subject/Cntent: Earth and Space Science Summary f Lessn: Students will test hw chemical and mechanical weathering can affect a rck

More information

Review Problems 3. Four FIR Filter Types

Review Problems 3. Four FIR Filter Types Review Prblems 3 Fur FIR Filter Types Fur types f FIR linear phase digital filters have cefficients h(n fr 0 n M. They are defined as fllws: Type I: h(n = h(m-n and M even. Type II: h(n = h(m-n and M dd.

More information

Figure 1a. A planar mechanism.

Figure 1a. A planar mechanism. ME 5 - Machine Design I Fall Semester 0 Name f Student Lab Sectin Number EXAM. OPEN BOOK AND CLOSED NOTES. Mnday, September rd, 0 Write n ne side nly f the paper prvided fr yur slutins. Where necessary,

More information

Physics 2010 Motion with Constant Acceleration Experiment 1

Physics 2010 Motion with Constant Acceleration Experiment 1 . Physics 00 Mtin with Cnstant Acceleratin Experiment In this lab, we will study the mtin f a glider as it accelerates dwnhill n a tilted air track. The glider is supprted ver the air track by a cushin

More information

Purpose: Use this reference guide to effectively communicate the new process customers will use for creating a TWC ID. Mobile Manager Call History

Purpose: Use this reference guide to effectively communicate the new process customers will use for creating a TWC ID. Mobile Manager Call History Purpse: Use this reference guide t effectively cmmunicate the new prcess custmers will use fr creating a TWC ID. Overview Beginning n January 28, 2014 (Refer t yur Knwledge Management System fr specific

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

[COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t o m a k e s u r e y o u a r e r e a d y )

[COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t o m a k e s u r e y o u a r e r e a d y ) (Abut the final) [COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t m a k e s u r e y u a r e r e a d y ) The department writes the final exam s I dn't really knw what's n it and I can't very well

More information

A Few Basic Facts About Isothermal Mass Transfer in a Binary Mixture

A Few Basic Facts About Isothermal Mass Transfer in a Binary Mixture Few asic Facts but Isthermal Mass Transfer in a inary Miture David Keffer Department f Chemical Engineering University f Tennessee first begun: pril 22, 2004 last updated: January 13, 2006 dkeffer@utk.edu

More information

x 1 Outline IAML: Logistic Regression Decision Boundaries Example Data

x 1 Outline IAML: Logistic Regression Decision Boundaries Example Data Outline IAML: Lgistic Regressin Charles Suttn and Victr Lavrenk Schl f Infrmatics Semester Lgistic functin Lgistic regressin Learning lgistic regressin Optimizatin The pwer f nn-linear basis functins Least-squares

More information

Section I5: Feedback in Operational Amplifiers

Section I5: Feedback in Operational Amplifiers Sectin I5: eedback in Operatinal mplifiers s discussed earlier, practical p-amps hae a high gain under dc (zer frequency) cnditins and the gain decreases as frequency increases. This frequency dependence

More information

1.1 The main transmission network of Eskom The classical two generator model 11

1.1 The main transmission network of Eskom The classical two generator model 11 LIST OF FIGURS Figure Page 1.1 The main transmissin netwrk f skm 4 2.1 The classical tw generatr mdel 11 2.2 Obtaining the lcatin f the electrical centre. The line cnnecting A with B represents the netwrk

More information

Engineering Decision Methods

Engineering Decision Methods GSOE9210 vicj@cse.unsw.edu.au www.cse.unsw.edu.au/~gs9210 Maximin and minimax regret 1 2 Indifference; equal preference 3 Graphing decisin prblems 4 Dminance The Maximin principle Maximin and minimax Regret

More information

READING STATECHART DIAGRAMS

READING STATECHART DIAGRAMS READING STATECHART DIAGRAMS Figure 4.48 A Statechart diagram with events The diagram in Figure 4.48 shws all states that the bject plane can be in during the curse f its life. Furthermre, it shws the pssible

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 4: Mdel checing fr ODE mdels In Petre Department f IT, Åb Aademi http://www.users.ab.fi/ipetre/cmpmd/ Cntent Stichimetric matrix Calculating the mass cnservatin relatins

More information

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents Supplementary Curse Ntes Adding and Subtracting AC Vltages and Currents As mentined previusly, when cmbining DC vltages r currents, we nly need t knw the plarity (vltage) and directin (current). In the

More information

The Equation αsin x+ βcos family of Heron Cyclic Quadrilaterals

The Equation αsin x+ βcos family of Heron Cyclic Quadrilaterals The Equatin sin x+ βcs x= γ and a family f Hern Cyclic Quadrilaterals Knstantine Zelatr Department Of Mathematics Cllege Of Arts And Sciences Mail Stp 94 University Of Tled Tled,OH 43606-3390 U.S.A. Intrductin

More information

Module 4: General Formulation of Electric Circuit Theory

Module 4: General Formulation of Electric Circuit Theory Mdule 4: General Frmulatin f Electric Circuit Thery 4. General Frmulatin f Electric Circuit Thery All electrmagnetic phenmena are described at a fundamental level by Maxwell's equatins and the assciated

More information

Math Foundations 10 Work Plan

Math Foundations 10 Work Plan Math Fundatins 10 Wrk Plan Units / Tpics 10.1 Demnstrate understanding f factrs f whle numbers by: Prime factrs Greatest Cmmn Factrs (GCF) Least Cmmn Multiple (LCM) Principal square rt Cube rt Time Frame

More information

CHM112 Lab Graphing with Excel Grading Rubric

CHM112 Lab Graphing with Excel Grading Rubric Name CHM112 Lab Graphing with Excel Grading Rubric Criteria Pints pssible Pints earned Graphs crrectly pltted and adhere t all guidelines (including descriptive title, prperly frmatted axes, trendline

More information

Experiment #3. Graphing with Excel

Experiment #3. Graphing with Excel Experiment #3. Graphing with Excel Study the "Graphing with Excel" instructins that have been prvided. Additinal help with learning t use Excel can be fund n several web sites, including http://www.ncsu.edu/labwrite/res/gt/gt-

More information

WRITING THE REPORT. Organizing the report. Title Page. Table of Contents

WRITING THE REPORT. Organizing the report. Title Page. Table of Contents WRITING THE REPORT Organizing the reprt Mst reprts shuld be rganized in the fllwing manner. Smetime there is a valid reasn t include extra chapters in within the bdy f the reprt. 1. Title page 2. Executive

More information

Tutorial 4: Parameter optimization

Tutorial 4: Parameter optimization SRM Curse 2013 Tutrial 4 Parameters Tutrial 4: Parameter ptimizatin The aim f this tutrial is t prvide yu with a feeling f hw a few f the parameters that can be set n a QQQ instrument affect SRM results.

More information

A Quick Overview of the. Framework for K 12 Science Education

A Quick Overview of the. Framework for K 12 Science Education A Quick Overview f the NGSS EQuIP MODULE 1 Framewrk fr K 12 Science Educatin Mdule 1: A Quick Overview f the Framewrk fr K 12 Science Educatin This mdule prvides a brief backgrund n the Framewrk fr K-12

More information

Synchronous Sequential Logic Part I

Synchronous Sequential Logic Part I Synchronous Sequential Logic Part I Mantıksal Tasarım BBM23 section instructor: Ufuk Çelikcan Sequential Logic Digital circuits we have learned, so far, have been combinational no memory, outputs are entirely

More information

Activity Guide Loops and Random Numbers

Activity Guide Loops and Random Numbers Unit 3 Lessn 7 Name(s) Perid Date Activity Guide Lps and Randm Numbers CS Cntent Lps are a relatively straightfrward idea in prgramming - yu want a certain chunk f cde t run repeatedly - but it takes a

More information

Bootstrap Method > # Purpose: understand how bootstrap method works > obs=c(11.96, 5.03, 67.40, 16.07, 31.50, 7.73, 11.10, 22.38) > n=length(obs) >

Bootstrap Method > # Purpose: understand how bootstrap method works > obs=c(11.96, 5.03, 67.40, 16.07, 31.50, 7.73, 11.10, 22.38) > n=length(obs) > Btstrap Methd > # Purpse: understand hw btstrap methd wrks > bs=c(11.96, 5.03, 67.40, 16.07, 31.50, 7.73, 11.10, 22.38) > n=length(bs) > mean(bs) [1] 21.64625 > # estimate f lambda > lambda = 1/mean(bs);

More information

Current/voltage-mode third order quadrature oscillator employing two multiple outputs CCIIs and grounded capacitors

Current/voltage-mode third order quadrature oscillator employing two multiple outputs CCIIs and grounded capacitors Indian Jurnal f Pure & Applied Physics Vl. 49 July 20 pp. 494-498 Current/vltage-mde third rder quadrature scillatr emplying tw multiple utputs CCIIs and grunded capacitrs Jiun-Wei Hrng Department f Electrnic

More information

1996 Engineering Systems Design and Analysis Conference, Montpellier, France, July 1-4, 1996, Vol. 7, pp

1996 Engineering Systems Design and Analysis Conference, Montpellier, France, July 1-4, 1996, Vol. 7, pp THE POWER AND LIMIT OF NEURAL NETWORKS T. Y. Lin Department f Mathematics and Cmputer Science San Jse State University San Jse, Califrnia 959-003 tylin@cs.ssu.edu and Bereley Initiative in Sft Cmputing*

More information

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25

Table of Content. Chapter 11 Dedicated Microprocessors Page 1 of 25 Chapter 11 Dedicated Microprocessors Page 1 of 25 Table of Content Table of Content... 1 11 Dedicated Microprocessors... 2 11.1 Manual Construction of a Dedicated Microprocessor... 3 11.2 FSM + D Model

More information

Chapter 3: Cluster Analysis

Chapter 3: Cluster Analysis Chapter 3: Cluster Analysis } 3.1 Basic Cncepts f Clustering 3.1.1 Cluster Analysis 3.1. Clustering Categries } 3. Partitining Methds 3..1 The principle 3.. K-Means Methd 3..3 K-Medids Methd 3..4 CLARA

More information

Biocomputers. [edit]scientific Background

Biocomputers. [edit]scientific Background Bicmputers Frm Wikipedia, the free encyclpedia Bicmputers use systems f bilgically derived mlecules, such as DNA and prteins, t perfrm cmputatinal calculatins invlving string, retrieving, and prcessing

More information

Technical Note

Technical Note CO &< S8 i CO PJ < '! t-i H i M Q H U3 CO w w ESD-TR-68-188 ESD RECORD COPY RETURN TO SCIENTIFIC & TECHNICAL INFORMATION DIVISION (ESTi), BUILDING 1211 ESD ACCESSION LIST ESTI Call N. AL 61616 Cpy N. f

More information

Chem 163 Section: Team Number: ALE 24. Voltaic Cells and Standard Cell Potentials. (Reference: 21.2 and 21.3 Silberberg 5 th edition)

Chem 163 Section: Team Number: ALE 24. Voltaic Cells and Standard Cell Potentials. (Reference: 21.2 and 21.3 Silberberg 5 th edition) Name Chem 163 Sectin: Team Number: ALE 24. Vltaic Cells and Standard Cell Ptentials (Reference: 21.2 and 21.3 Silberberg 5 th editin) What des a vltmeter reading tell us? The Mdel: Standard Reductin and

More information

Trigonometric Ratios Unit 5 Tentative TEST date

Trigonometric Ratios Unit 5 Tentative TEST date 1 U n i t 5 11U Date: Name: Trignmetric Ratis Unit 5 Tentative TEST date Big idea/learning Gals In this unit yu will extend yur knwledge f SOH CAH TOA t wrk with btuse and reflex angles. This extensin

More information

BF908; BF908R IMPORTANT NOTICE. use

BF908; BF908R IMPORTANT NOTICE.  use Rev. 3 14 Nvember 27 Prduct data sheet IMPORTANT NOTICE Dear custmer, As frm Octber 1st, 26 Philips Semicnductrs has a new trade name - NXP Semicnductrs, which will be used in future data sheets tgether

More information

COE 328 Final Exam 2008

COE 328 Final Exam 2008 COE 328 Final Exam 2008 1. Design a comparator that compares a 4 bit number A to a 4 bit number B and gives an Output F=1 if A is not equal B. You must use 2 input LUTs only. 2. Given the following logic

More information

Wagon Markings Guidelines

Wagon Markings Guidelines Versin / Status: V 3.0 / apprved Wagn Markings Guidelines 1. Intrductin Article 4, para 4 f the Safety Directive (2004/49/EG amended by 2008/110/EC) stipulates the respnsibility f each manufacturer, maintenance

More information

or 0101 Machine

or 0101 Machine Synchronous State Graph or Synchronous State Graph or Detector Design a state graph for a machine with: One input X, one output Z. Z= after receiving the complete sequence or Overlapped sequences are detected.

More information

Dispersion Ref Feynman Vol-I, Ch-31

Dispersion Ref Feynman Vol-I, Ch-31 Dispersin Ref Feynman Vl-I, Ch-31 n () = 1 + q N q /m 2 2 2 0 i ( b/m) We have learned that the index f refractin is nt just a simple number, but a quantity that varies with the frequency f the light.

More information

The standards are taught in the following sequence.

The standards are taught in the following sequence. B L U E V A L L E Y D I S T R I C T C U R R I C U L U M MATHEMATICS Third Grade In grade 3, instructinal time shuld fcus n fur critical areas: (1) develping understanding f multiplicatin and divisin and

More information