EDA Engineering Design & Analysis Ltd

Size: px
Start display at page:

Download "EDA Engineering Design & Analysis Ltd"

Transcription

1 EDA Engineering Design & Analysis Ltd THE FINITE ELEMENT METHOD A shrt tutrial giving an verview f the histry, thery and applicatin f the finite element methd. Intrductin Value f FEM Applicatins Elements f FEM Mesh Generatin Element Equatins Assembly & Matrix Slutin Methds Errr Minimizatin Validatin Using an FEA Prgram Organizatin Gemetry Definitin Static Analysis Finite Element Library Plate Example Other Examples Disclaimer! This tutrial is nt a substitute fr detailed study f the bdy f knwledge f the finite element methdlgy. EDA Page 1 f 24

2 FEM The Finite Element Methd The FEM is a cmputer aided mathematical technique fr btaining numerical slutins t the abstract equiatins f calculus that predict the respnse f physical systems subjected t external influences. The FEM slutin may be exact fr the apprximated mdel f the real system. Finite Element vs Finite Difference Finite Difference Used fr prblems dealing with time nly as independent variable Mathematical technique based n pwer (Taylr) series expansin f ( x) f ( a) h 2 h f '( a) f "( a)... 2! The increments r elements are f equal size, where h = (x-a) The h are f fixed size Finite Element Deals with bth space (x, y, z) and time (t) as independent variables Mathematical technique based n intricate use f algebraic expressins and ptimizing techniques Elements can vary in size within the dmain f the system EDA Page 2 f 24

3 Histrical Backgrund Ntes: (1) Used framewrk f physically separate 1D rds and beams t mdel elastic behaviur f a cntinuus plate (2) Used assembly f triangular panels t mdel cmplete aircraft wing panel; used all the methdlgical elements f mdern day FEM EDA Page 3 f 24

4 Value f FEM fr Plant Facilities Predictive Design, reliability f prpsed facilities reduce prttyping Cnfirmatin Quantify, assess evaluate existing cnditin Plant Supprt Areas Engineering - damage and remaining life assessments Cnstructin - evaluate cnstructin methds Maintenance - evaluate maintenance methds Inspectin - cdes & standards cmpliance Equipment Applicatins Pressure vessels Piping (special purpse FEM prgrams) Steam generating equipment, fired heaters Rtating equipment (pressure cntaining cmpnents) Tankage Valving, specialty & cmmdity Structures Heavy lift cranes, draglines Refractry systems Equipment auxiliaries Bellws Dampers Fans Internal structures Stacks Cst / Benefit FEM prvides a means t quantify the perfrmance f mechanical / structural equipment against a set f decisin criteria such as design & cnstructin cdes mnetary cst safety reliability The results f prperly cnducted FEA are accepted by facility insurer s jurisdictin authrities, and industry wners EDA Page 4 f 24

5 Discipline Applicatins Slid Mechanics Elasticity Plasticity Statics Dynamics Heat Transfer Cnductin Cnvectin Radiatin Fluid Mechanics Laminar Turbulent Acustics Electrmagnetism Slid State Physics Quantum Mechanics EDA Page 5 f 24

6 FEM Example: Slid mechanics, plasticity (transient dynamic, nnlinear) EDA Page 6 f 24

7 FEM Example: Slid mechanics, elastic (static, linear) Nte this example shws validatin f the theretical results using experimentally determined results. EDA Page 7 f 24

8 Why is FEM generally applicable t physical phenmena? Cnsider ne-dimensinal bundary value prblems EDA Page 8 f 24

9 The Elements f FEM pre - prcessing Mesh generatin Bundary Cnditins Lading cmputatin Element Equatins Assembly Matrix Slutin Methds pst prcessing Determine stresses Display Print Cmbine lad cases EDA Page 9 f 24

10 Mesh Generatin Superficially, mesh generatin divides the dmain f ur system int elements. Assciated with each element is a trial functin(s) f algebraic expressin. The element culd be as large as abuilding r as small as small as the chip in a cmputer CPU. Hence, the term finite is used t describe the element. If u(x) is the exact sluin t ur prblem, then ũ(x:a) represents an apprximate slutin in algebraic frm where: ũ e (x:a) = a 1 e Ø 1 e (x) + a 2 e Ø 2 e (x) + a n e Ø n e (x) The Ø n (x) are set t frm a Lagrange interplatin plynmial, i.e. when Ø 1 (x) = 1 Ø 2 (x) = 0, and Ø 1 (x) = 0 Ø 2 (x) = 1 This allws the a n e t cnstrain the equatin f ũ(x) t u(x) fr all elements If we let ij = ij [Ø n (x)], F i = F i (Ø n ) Then [ ij ] [a n ] = [F i ] [] [a] [F] = Matrix f cefficients that multiply the vectr f unknwn parameters = Unknwn parameters dependent n the bundary cnditins i.e. sets the DOF, degree f freedm fr the slutin matrix = Lad vectr representing the exterir bundary and interir cnditins EDA Page 10 f 24

11 EDA Page 11 f 24 Element Equatins Fr each element, there is a, a, F. These represent the element equatins. Fr a single element with a beginning and ending nde F F a a and fr a succeeding element F F a a If the matrix is written ut in full: F F F F a a a a

12 Assembly Fr cntinuity, u 1 = u 2 at their cmmn nde pint, i.e. where the elements cnnect. Fr ur tw element matrix, the means a 2 = a 3. The previus matrix can nw be written as: a a a F F F F3 This is knwn as assembly. Matrix Slutin Methds As individual element equatins are gathered int the system matrix, it is apparent that many zer terms arise; the nn-zer terms being lcated n the main matrix diagnal. Since the nn-zer terms predminate, the matrix is said t be sparse and allws fr easy slutin fr the a n. The width f the nn-zer terms n the diagnal is called the bandwidth. Once the system equatins are assembled, each a n is determined by Gaussian eliminatin. Once the a n have been determined, back substitute int the apprpriate slutin: ũ e (x:a) = a 1 e Ø 1 e (x) + a 2 e Ø 2 e (x) + a n e Ø n e (x) Fr each element, what des the ũ e (x:a) s represent? Temperature heat flw Displacement stress Vltage current Hydraulic head fluid flw EDA Page 12 f 24

13 Techniques fr minimizing errr Cnvergence Cnvergence checks that the answer is unique Element labelling shuld ensure that mst f the matrix cefficients end up n the main diagnal. Mst prgrams ignre terms utside a bandwidth f 10. Refine mesh between successive runs and determine cnvergence Mesh refinement - h methd Increase equatins - plynmial trial slutins - cmplex elements - p methd Check cntinuity acrss elements fr flux terms Use alternate elements Validatin Validatin checks that the answer is clse t the exact slutin Cmpare answers t knwn set f answers [benchmark prblems] Determine slutin accuracy fr simple mdels with clsed frm slutin Cautin: Errr imprvement cannt take place if nly a few f the mesh elements becme arbitrarily small the large elements will have intrduced sme errrs already. EDA Page 13 f 24

14 Using an FEA prgram Many engineering prblems can be analyzed n micrcmputers and allw fr many design iteratins smetimes, t many since the cmputatinal time is shrtened drastically fr many practical prblems encuntered in an industrial setting. All input data are entered thrugh the use f menus which crrespnd t the prgram mdules Gemetry definitin Static analysis Mdal analysis Dynamic analysis EDA Page 14 f 24

15 Gemetry Definitin Menu Organizatin EDA Page 15 f 24

16 Static Analysis Menu Organizatin EDA Page 16 f 24

17 FEA Element Library A typical prgram element library will prvide sufficient elements types t cnduct analyses t varying degrees f cmplexity in the discipline f interest, i.e. structures, fluids, electrmagnetism, acustics, etc. EDA Page 17 f 24

18 Example f Static & Dynamic Analysis f a Plate Static Example: EDA Page 18 f 24

19 Displacement Plt Slutin Deflectin % Difference Sftware Thery Reference: W.C. Yung, Rark s Frmula s fr Stress and Strain, 6 th Ed pg. 458 EDA Page 19 f 24

20 Detailed Calculatin f Example Static Prblem EDA Page 20 f 24

21 Dynamic Example Slutin f 1 f 2 f 3 Sftware Thery % Difference Reference: W.C. Yung, Rark s Frmulas fr Stress and Strain, 6 th Ed. Pg. 717 EDA Page 21 f 24

22 Dynamic Example [cnt d] Mde 3 Slutin f 1 f 2 f 3 Sftware Thery % Difference Reference: W.C. Yung, Rark s Frmulas fr Stress and Strain, 6 th Ed. Pg. 717 EDA Page 22 f 24

23 Detailed Calculatin f Example Dynamic Prblem EDA Page 23 f 24

24 Examples frm actual prblems Vessel Tp Head with Adjacent Nzzle verlap f stresses arund penings Outlet Nzzle with Repad nte lack f penetratin at repad ID weld EDA Page 24 f 24

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax .7.4: Direct frequency dmain circuit analysis Revisin: August 9, 00 5 E Main Suite D Pullman, WA 9963 (509) 334 6306 ice and Fax Overview n chapter.7., we determined the steadystate respnse f electrical

More information

Support-Vector Machines

Support-Vector Machines Supprt-Vectr Machines Intrductin Supprt vectr machine is a linear machine with sme very nice prperties. Haykin chapter 6. See Alpaydin chapter 13 fr similar cntent. Nte: Part f this lecture drew material

More information

Module 4: General Formulation of Electric Circuit Theory

Module 4: General Formulation of Electric Circuit Theory Mdule 4: General Frmulatin f Electric Circuit Thery 4. General Frmulatin f Electric Circuit Thery All electrmagnetic phenmena are described at a fundamental level by Maxwell's equatins and the assciated

More information

OF SIMPLY SUPPORTED PLYWOOD PLATES UNDER COMBINED EDGEWISE BENDING AND COMPRESSION

OF SIMPLY SUPPORTED PLYWOOD PLATES UNDER COMBINED EDGEWISE BENDING AND COMPRESSION U. S. FOREST SERVICE RESEARCH PAPER FPL 50 DECEMBER U. S. DEPARTMENT OF AGRICULTURE FOREST SERVICE FOREST PRODUCTS LABORATORY OF SIMPLY SUPPORTED PLYWOOD PLATES UNDER COMBINED EDGEWISE BENDING AND COMPRESSION

More information

Exercise 3 Identification of parameters of the vibrating system with one degree of freedom

Exercise 3 Identification of parameters of the vibrating system with one degree of freedom Exercise 3 Identificatin f parameters f the vibrating system with ne degree f freedm Gal T determine the value f the damping cefficient, the stiffness cefficient and the amplitude f the vibratin excitatin

More information

Aircraft Performance - Drag

Aircraft Performance - Drag Aircraft Perfrmance - Drag Classificatin f Drag Ntes: Drag Frce and Drag Cefficient Drag is the enemy f flight and its cst. One f the primary functins f aerdynamicists and aircraft designers is t reduce

More information

, which yields. where z1. and z2

, which yields. where z1. and z2 The Gaussian r Nrmal PDF, Page 1 The Gaussian r Nrmal Prbability Density Functin Authr: Jhn M Cimbala, Penn State University Latest revisin: 11 September 13 The Gaussian r Nrmal Prbability Density Functin

More information

GENESIS Structural Optimization for ANSYS Mechanical

GENESIS Structural Optimization for ANSYS Mechanical P3 STRUCTURAL OPTIMIZATION (Vl. II) GENESIS Structural Optimizatin fr ANSYS Mechanical An Integrated Extensin that adds Structural Optimizatin t ANSYS Envirnment New Features and Enhancements Release 2017.03

More information

SMART TESTING BOMBARDIER THOUGHTS

SMART TESTING BOMBARDIER THOUGHTS Bmbardier Inc. u ses filiales. Tus drits réservés. BOMBARDIER THOUGHTS FAA Bmbardier Wrkshp Mntreal 15-18 th September 2015 Bmbardier Inc. u ses filiales. Tus drits réservés. LEVERAGING ANALYSIS METHODS

More information

Fall 2013 Physics 172 Recitation 3 Momentum and Springs

Fall 2013 Physics 172 Recitation 3 Momentum and Springs Fall 03 Physics 7 Recitatin 3 Mmentum and Springs Purpse: The purpse f this recitatin is t give yu experience wrking with mmentum and the mmentum update frmula. Readings: Chapter.3-.5 Learning Objectives:.3.

More information

ENSC Discrete Time Systems. Project Outline. Semester

ENSC Discrete Time Systems. Project Outline. Semester ENSC 49 - iscrete Time Systems Prject Outline Semester 006-1. Objectives The gal f the prject is t design a channel fading simulatr. Upn successful cmpletin f the prject, yu will reinfrce yur understanding

More information

Fabrication Thermal Test. Methodology for a Safe Cask Thermal Performance

Fabrication Thermal Test. Methodology for a Safe Cask Thermal Performance ENSA (Grup SEPI) Fabricatin Thermal Test. Methdlgy fr a Safe Cask Thermal Perfrmance IAEA Internatinal Cnference n the Management f Spent Fuel frm Nuclear Pwer Reactrs An Integrated Apprach t the Back-End

More information

Dead-beat controller design

Dead-beat controller design J. Hetthéssy, A. Barta, R. Bars: Dead beat cntrller design Nvember, 4 Dead-beat cntrller design In sampled data cntrl systems the cntrller is realised by an intelligent device, typically by a PLC (Prgrammable

More information

Pressure And Entropy Variations Across The Weak Shock Wave Due To Viscosity Effects

Pressure And Entropy Variations Across The Weak Shock Wave Due To Viscosity Effects Pressure And Entrpy Variatins Acrss The Weak Shck Wave Due T Viscsity Effects OSTAFA A. A. AHOUD Department f athematics Faculty f Science Benha University 13518 Benha EGYPT Abstract:-The nnlinear differential

More information

Pattern Recognition 2014 Support Vector Machines

Pattern Recognition 2014 Support Vector Machines Pattern Recgnitin 2014 Supprt Vectr Machines Ad Feelders Universiteit Utrecht Ad Feelders ( Universiteit Utrecht ) Pattern Recgnitin 1 / 55 Overview 1 Separable Case 2 Kernel Functins 3 Allwing Errrs (Sft

More information

7 TH GRADE MATH STANDARDS

7 TH GRADE MATH STANDARDS ALGEBRA STANDARDS Gal 1: Students will use the language f algebra t explre, describe, represent, and analyze number expressins and relatins 7 TH GRADE MATH STANDARDS 7.M.1.1: (Cmprehensin) Select, use,

More information

Assume that the water in the nozzle is accelerated at a rate such that the frictional effect can be neglected.

Assume that the water in the nozzle is accelerated at a rate such that the frictional effect can be neglected. 1 HW #3: Cnservatin f Linear Mmentum, Cnservatin f Energy, Cnservatin f Angular Mmentum and Turbmachines, Bernulli s Equatin, Dimensinal Analysis, and Pipe Flws Prblem 1. Cnservatins f Mass and Linear

More information

Admissibility Conditions and Asymptotic Behavior of Strongly Regular Graphs

Admissibility Conditions and Asymptotic Behavior of Strongly Regular Graphs Admissibility Cnditins and Asympttic Behavir f Strngly Regular Graphs VASCO MOÇO MANO Department f Mathematics University f Prt Oprt PORTUGAL vascmcman@gmailcm LUÍS ANTÓNIO DE ALMEIDA VIEIRA Department

More information

Examiner: Dr. Mohamed Elsharnoby Time: 180 min. Attempt all the following questions Solve the following five questions, and assume any missing data

Examiner: Dr. Mohamed Elsharnoby Time: 180 min. Attempt all the following questions Solve the following five questions, and assume any missing data Benha University Cllege f Engineering at Banha Department f Mechanical Eng. First Year Mechanical Subject : Fluid Mechanics M111 Date:4/5/016 Questins Fr Final Crrective Examinatin Examiner: Dr. Mhamed

More information

Synchronous Motor V-Curves

Synchronous Motor V-Curves Synchrnus Mtr V-Curves 1 Synchrnus Mtr V-Curves Intrductin Synchrnus mtrs are used in applicatins such as textile mills where cnstant speed peratin is critical. Mst small synchrnus mtrs cntain squirrel

More information

1996 Engineering Systems Design and Analysis Conference, Montpellier, France, July 1-4, 1996, Vol. 7, pp

1996 Engineering Systems Design and Analysis Conference, Montpellier, France, July 1-4, 1996, Vol. 7, pp THE POWER AND LIMIT OF NEURAL NETWORKS T. Y. Lin Department f Mathematics and Cmputer Science San Jse State University San Jse, Califrnia 959-003 tylin@cs.ssu.edu and Bereley Initiative in Sft Cmputing*

More information

1 The limitations of Hartree Fock approximation

1 The limitations of Hartree Fock approximation Chapter: Pst-Hartree Fck Methds - I The limitatins f Hartree Fck apprximatin The n electrn single determinant Hartree Fck wave functin is the variatinal best amng all pssible n electrn single determinants

More information

Building to Transformations on Coordinate Axis Grade 5: Geometry Graph points on the coordinate plane to solve real-world and mathematical problems.

Building to Transformations on Coordinate Axis Grade 5: Geometry Graph points on the coordinate plane to solve real-world and mathematical problems. Building t Transfrmatins n Crdinate Axis Grade 5: Gemetry Graph pints n the crdinate plane t slve real-wrld and mathematical prblems. 5.G.1. Use a pair f perpendicular number lines, called axes, t define

More information

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink American Jurnal f Engineering Research (AJER) 016 American Jurnal f Engineering Research (AJER) e-issn: 30-0847 p-issn : 30-0936 Vlume-5, Issue-, pp-9-36 www.ajer.rg Research Paper Open Access Design and

More information

Part 3 Introduction to statistical classification techniques

Part 3 Introduction to statistical classification techniques Part 3 Intrductin t statistical classificatin techniques Machine Learning, Part 3, March 07 Fabi Rli Preamble ØIn Part we have seen that if we knw: Psterir prbabilities P(ω i / ) Or the equivalent terms

More information

MODULE FOUR. This module addresses functions. SC Academic Elementary Algebra Standards:

MODULE FOUR. This module addresses functions. SC Academic Elementary Algebra Standards: MODULE FOUR This mdule addresses functins SC Academic Standards: EA-3.1 Classify a relatinship as being either a functin r nt a functin when given data as a table, set f rdered pairs, r graph. EA-3.2 Use

More information

Modeling the Nonlinear Rheological Behavior of Materials with a Hyper-Exponential Type Function

Modeling the Nonlinear Rheological Behavior of Materials with a Hyper-Exponential Type Function www.ccsenet.rg/mer Mechanical Engineering Research Vl. 1, N. 1; December 011 Mdeling the Nnlinear Rhelgical Behavir f Materials with a Hyper-Expnential Type Functin Marc Delphin Mnsia Département de Physique,

More information

Chapter 3: Cluster Analysis

Chapter 3: Cluster Analysis Chapter 3: Cluster Analysis } 3.1 Basic Cncepts f Clustering 3.1.1 Cluster Analysis 3.1. Clustering Categries } 3. Partitining Methds 3..1 The principle 3.. K-Means Methd 3..3 K-Medids Methd 3..4 CLARA

More information

8 th Grade Math: Pre-Algebra

8 th Grade Math: Pre-Algebra Hardin Cunty Middle Schl (2013-2014) 1 8 th Grade Math: Pre-Algebra Curse Descriptin The purpse f this curse is t enhance student understanding, participatin, and real-life applicatin f middle-schl mathematics

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 4: Mdel checing fr ODE mdels In Petre Department f IT, Åb Aademi http://www.users.ab.fi/ipetre/cmpmd/ Cntent Stichimetric matrix Calculating the mass cnservatin relatins

More information

Plasticty Theory (5p)

Plasticty Theory (5p) Cmputatinal Finite Strain Hyper-Elast Plasticty Thery (5p) à General ü Study nn-linear material and structural respnse (due t material as well as gemetrical effects) ü Fundamental principles fl Cntinuum

More information

Resampling Methods. Chapter 5. Chapter 5 1 / 52

Resampling Methods. Chapter 5. Chapter 5 1 / 52 Resampling Methds Chapter 5 Chapter 5 1 / 52 1 51 Validatin set apprach 2 52 Crss validatin 3 53 Btstrap Chapter 5 2 / 52 Abut Resampling An imprtant statistical tl Pretending the data as ppulatin and

More information

Determining the Accuracy of Modal Parameter Estimation Methods

Determining the Accuracy of Modal Parameter Estimation Methods Determining the Accuracy f Mdal Parameter Estimatin Methds by Michael Lee Ph.D., P.E. & Mar Richardsn Ph.D. Structural Measurement Systems Milpitas, CA Abstract The mst cmmn type f mdal testing system

More information

Chapter 4. Unsteady State Conduction

Chapter 4. Unsteady State Conduction Chapter 4 Unsteady State Cnductin Chapter 5 Steady State Cnductin Chee 318 1 4-1 Intrductin ransient Cnductin Many heat transfer prblems are time dependent Changes in perating cnditins in a system cause

More information

initially lcated away frm the data set never win the cmpetitin, resulting in a nnptimal nal cdebk, [2] [3] [4] and [5]. Khnen's Self Organizing Featur

initially lcated away frm the data set never win the cmpetitin, resulting in a nnptimal nal cdebk, [2] [3] [4] and [5]. Khnen's Self Organizing Featur Cdewrd Distributin fr Frequency Sensitive Cmpetitive Learning with One Dimensinal Input Data Aristides S. Galanpuls and Stanley C. Ahalt Department f Electrical Engineering The Ohi State University Abstract

More information

AP Physics Laboratory #4.1: Projectile Launcher

AP Physics Laboratory #4.1: Projectile Launcher AP Physics Labratry #4.1: Prjectile Launcher Name: Date: Lab Partners: EQUIPMENT NEEDED PASCO Prjectile Launcher, Timer, Phtgates, Time f Flight Accessry PURPOSE The purpse f this Labratry is t use the

More information

A Matrix Representation of Panel Data

A Matrix Representation of Panel Data web Extensin 6 Appendix 6.A A Matrix Representatin f Panel Data Panel data mdels cme in tw brad varieties, distinct intercept DGPs and errr cmpnent DGPs. his appendix presents matrix algebra representatins

More information

Introductory Thoughts

Introductory Thoughts Flw Similarity By using the Buckingham pi therem, we have reduced the number f independent variables frm five t tw If we wish t run a series f wind-tunnel tests fr a given bdy at a given angle f attack,

More information

Physics 2B Chapter 23 Notes - Faraday s Law & Inductors Spring 2018

Physics 2B Chapter 23 Notes - Faraday s Law & Inductors Spring 2018 Michael Faraday lived in the Lndn area frm 1791 t 1867. He was 29 years ld when Hand Oersted, in 1820, accidentally discvered that electric current creates magnetic field. Thrugh empirical bservatin and

More information

(1.1) V which contains charges. If a charge density ρ, is defined as the limit of the ratio of the charge contained. 0, and if a force density f

(1.1) V which contains charges. If a charge density ρ, is defined as the limit of the ratio of the charge contained. 0, and if a force density f 1.0 Review f Electrmagnetic Field Thery Selected aspects f electrmagnetic thery are reviewed in this sectin, with emphasis n cncepts which are useful in understanding magnet design. Detailed, rigrus treatments

More information

A.H. Helou Ph.D.~P.E.

A.H. Helou Ph.D.~P.E. 1 EVALUATION OF THE STIFFNESS MATRIX OF AN INDETERMINATE TRUSS USING MINIMIZATION TECHNIQUES A.H. Helu Ph.D.~P.E. :\.!.\STRAC'l' Fr an existing structure the evaluatin f the Sti"ffness matrix may be hampered

More information

Copyright Paul Tobin 63

Copyright Paul Tobin 63 DT, Kevin t. lectric Circuit Thery DT87/ Tw-Prt netwrk parameters ummary We have seen previusly that a tw-prt netwrk has a pair f input terminals and a pair f utput terminals figure. These circuits were

More information

DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD

DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD Sangh Kim Stanfrd University Juan J. Alns Stanfrd University Antny Jamesn Stanfrd University 40th AIAA Aerspace Sciences

More information

February 28, 2013 COMMENTS ON DIFFUSION, DIFFUSIVITY AND DERIVATION OF HYPERBOLIC EQUATIONS DESCRIBING THE DIFFUSION PHENOMENA

February 28, 2013 COMMENTS ON DIFFUSION, DIFFUSIVITY AND DERIVATION OF HYPERBOLIC EQUATIONS DESCRIBING THE DIFFUSION PHENOMENA February 28, 2013 COMMENTS ON DIFFUSION, DIFFUSIVITY AND DERIVATION OF HYPERBOLIC EQUATIONS DESCRIBING THE DIFFUSION PHENOMENA Mental Experiment regarding 1D randm walk Cnsider a cntainer f gas in thermal

More information

The blessing of dimensionality for kernel methods

The blessing of dimensionality for kernel methods fr kernel methds Building classifiers in high dimensinal space Pierre Dupnt Pierre.Dupnt@ucluvain.be Classifiers define decisin surfaces in sme feature space where the data is either initially represented

More information

Lecture 02 CSE 40547/60547 Computing at the Nanoscale

Lecture 02 CSE 40547/60547 Computing at the Nanoscale PN Junctin Ntes: Lecture 02 CSE 40547/60547 Cmputing at the Nanscale Letʼs start with a (very) shrt review f semi-cnducting materials: - N-type material: Obtained by adding impurity with 5 valence elements

More information

Kinematic transformation of mechanical behavior Neville Hogan

Kinematic transformation of mechanical behavior Neville Hogan inematic transfrmatin f mechanical behavir Neville Hgan Generalized crdinates are fundamental If we assume that a linkage may accurately be described as a cllectin f linked rigid bdies, their generalized

More information

Page 1 of 13. Helium vessel (Shell) UG-27 UG-28. Bellows Appendix 26 UG-32 UG-33. Head. Head Extension UG-27 UG-28. Helium Outlet UG-27 UG-28

Page 1 of 13. Helium vessel (Shell) UG-27 UG-28. Bellows Appendix 26 UG-32 UG-33. Head. Head Extension UG-27 UG-28. Helium Outlet UG-27 UG-28 JLB-TN-06-07 Renascence Crymdule Intrductin T minimize the hazards assciated with vacuum and pressure lads, sectin 65 in the JLab EH&S manual [] requires dcumentatin f the Renascence crymdule helium vessel

More information

Design and Analysis of Gas Turbine Blade by Potential Flow Approach

Design and Analysis of Gas Turbine Blade by Potential Flow Approach V. Vijaya kumar et al Int. Jurnal f Engineering Research and Applicatins RESEARCH ARTICLE OPEN ACCESS Design and Analysis f Gas Turbine Blade by Ptential Flw Apprach V. Vijaya Kumar 1, R. Lalitha Narayana

More information

Differentiation Applications 1: Related Rates

Differentiation Applications 1: Related Rates Differentiatin Applicatins 1: Related Rates 151 Differentiatin Applicatins 1: Related Rates Mdel 1: Sliding Ladder 10 ladder y 10 ladder 10 ladder A 10 ft ladder is leaning against a wall when the bttm

More information

On Boussinesq's problem

On Boussinesq's problem Internatinal Jurnal f Engineering Science 39 (2001) 317±322 www.elsevier.cm/lcate/ijengsci On Bussinesq's prblem A.P.S. Selvadurai * Department f Civil Engineering and Applied Mechanics, McGill University,

More information

COMP 551 Applied Machine Learning Lecture 9: Support Vector Machines (cont d)

COMP 551 Applied Machine Learning Lecture 9: Support Vector Machines (cont d) COMP 551 Applied Machine Learning Lecture 9: Supprt Vectr Machines (cnt d) Instructr: Herke van Hf (herke.vanhf@mail.mcgill.ca) Slides mstly by: Class web page: www.cs.mcgill.ca/~hvanh2/cmp551 Unless therwise

More information

Lead/Lag Compensator Frequency Domain Properties and Design Methods

Lead/Lag Compensator Frequency Domain Properties and Design Methods Lectures 6 and 7 Lead/Lag Cmpensatr Frequency Dmain Prperties and Design Methds Definitin Cnsider the cmpensatr (ie cntrller Fr, it is called a lag cmpensatr s K Fr s, it is called a lead cmpensatr Ntatin

More information

THE TOPOLOGY OF SURFACE SKIN FRICTION AND VORTICITY FIELDS IN WALL-BOUNDED FLOWS

THE TOPOLOGY OF SURFACE SKIN FRICTION AND VORTICITY FIELDS IN WALL-BOUNDED FLOWS THE TOPOLOGY OF SURFACE SKIN FRICTION AND VORTICITY FIELDS IN WALL-BOUNDED FLOWS M.S. Chng Department f Mechanical Engineering The University f Melburne Victria 3010 AUSTRALIA min@unimelb.edu.au J.P. Mnty

More information

Homology groups of disks with holes

Homology groups of disks with holes Hmlgy grups f disks with hles THEOREM. Let p 1,, p k } be a sequence f distinct pints in the interir unit disk D n where n 2, and suppse that fr all j the sets E j Int D n are clsed, pairwise disjint subdisks.

More information

NEBB-ASHRAE Technical E-Learning Courses

NEBB-ASHRAE Technical E-Learning Courses NEBB-ASHRAE Technical E-Learning Curses If yu re a NEBB certificatin Candidate lking t enhance yur knwledge within a specific area, OR if yu already are a NEBB Certified Prfessinal r Certified Technician

More information

INTERNATIONAL JOURNAL OF CIVIL AND STRUCTURAL ENGINEERING Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF CIVIL AND STRUCTURAL ENGINEERING Volume 1, No 3, 2010 Prbabilistic Analysis f Lateral Displacements f Shear in a 20 strey building Samir Benaissa 1, Belaid Mechab 2 1 Labratire de Mathematiques, Université de Sidi Bel Abbes BP 89, Sidi Bel Abbes 22000, Algerie.

More information

Technical Bulletin. Generation Interconnection Procedures. Revisions to Cluster 4, Phase 1 Study Methodology

Technical Bulletin. Generation Interconnection Procedures. Revisions to Cluster 4, Phase 1 Study Methodology Technical Bulletin Generatin Intercnnectin Prcedures Revisins t Cluster 4, Phase 1 Study Methdlgy Release Date: Octber 20, 2011 (Finalizatin f the Draft Technical Bulletin released n September 19, 2011)

More information

Transduction Based on Changes in the Energy Stored in an Electrical Field

Transduction Based on Changes in the Energy Stored in an Electrical Field Lecture 6-3 Transductin Based n Changes in the Energy Stred in an Electrical ield Department f Mechanical Engineering Example:Capacitive Pressure Sensr Pressure sensitive capacitive device With separatin

More information

Verification of Quality Parameters of a Solar Panel and Modification in Formulae of its Series Resistance

Verification of Quality Parameters of a Solar Panel and Modification in Formulae of its Series Resistance Verificatin f Quality Parameters f a Slar Panel and Mdificatin in Frmulae f its Series Resistance Sanika Gawhane Pune-411037-India Onkar Hule Pune-411037- India Chinmy Kulkarni Pune-411037-India Ojas Pandav

More information

Coupled Inductors and Transformers

Coupled Inductors and Transformers Cupled nductrs and Transfrmers Self-nductance When current i flws thrugh the cil, a magnetic flux is prduced arund it. d d di di v= = = dt di dt dt nductance: = d di This inductance is cmmnly called self-inductance,

More information

Sections 15.1 to 15.12, 16.1 and 16.2 of the textbook (Robbins-Miller) cover the materials required for this topic.

Sections 15.1 to 15.12, 16.1 and 16.2 of the textbook (Robbins-Miller) cover the materials required for this topic. Tpic : AC Fundamentals, Sinusidal Wavefrm, and Phasrs Sectins 5. t 5., 6. and 6. f the textbk (Rbbins-Miller) cver the materials required fr this tpic.. Wavefrms in electrical systems are current r vltage

More information

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment Presented at the COMSOL Cnference 2008 Hannver University f Parma Department f Industrial Engineering Numerical Simulatin f the Thermal Respsne Test Within the Cmsl Multiphysics Envirnment Authr : C. Crradi,

More information

MATHEMATICS SYLLABUS SECONDARY 5th YEAR

MATHEMATICS SYLLABUS SECONDARY 5th YEAR Eurpean Schls Office f the Secretary-General Pedaggical Develpment Unit Ref. : 011-01-D-8-en- Orig. : EN MATHEMATICS SYLLABUS SECONDARY 5th YEAR 6 perid/week curse APPROVED BY THE JOINT TEACHING COMMITTEE

More information

A Quick Overview of the. Framework for K 12 Science Education

A Quick Overview of the. Framework for K 12 Science Education A Quick Overview f the NGSS EQuIP MODULE 1 Framewrk fr K 12 Science Educatin Mdule 1: A Quick Overview f the Framewrk fr K 12 Science Educatin This mdule prvides a brief backgrund n the Framewrk fr K-12

More information

Lecture 13: Electrochemical Equilibria

Lecture 13: Electrochemical Equilibria 3.012 Fundamentals f Materials Science Fall 2005 Lecture 13: 10.21.05 Electrchemical Equilibria Tday: LAST TIME...2 An example calculatin...3 THE ELECTROCHEMICAL POTENTIAL...4 Electrstatic energy cntributins

More information

Chaotic behavior of the piccolo

Chaotic behavior of the piccolo Buens Aires 5 t 9 September, 2016 Acustics fr the 21 st Century PROCEEDINGS f the 22 nd Internatinal Cngress n Acustics Numerical Cmputatin in Musical Acustics: Paper ICA2016-54 Chatic behavir f the piccl

More information

MODULE ONE. This module addresses the foundational concepts and skills that support all of the Elementary Algebra academic standards.

MODULE ONE. This module addresses the foundational concepts and skills that support all of the Elementary Algebra academic standards. Mdule Fundatinal Tpics MODULE ONE This mdule addresses the fundatinal cncepts and skills that supprt all f the Elementary Algebra academic standards. SC Academic Elementary Algebra Indicatrs included in

More information

MODULE 1. e x + c. [You can t separate a demominator, but you can divide a single denominator into each numerator term] a + b a(a + b)+1 = a + b

MODULE 1. e x + c. [You can t separate a demominator, but you can divide a single denominator into each numerator term] a + b a(a + b)+1 = a + b . REVIEW OF SOME BASIC ALGEBRA MODULE () Slving Equatins Yu shuld be able t slve fr x: a + b = c a d + e x + c and get x = e(ba +) b(c a) d(ba +) c Cmmn mistakes and strategies:. a b + c a b + a c, but

More information

Math Foundations 20 Work Plan

Math Foundations 20 Work Plan Math Fundatins 20 Wrk Plan Units / Tpics 20.8 Demnstrate understanding f systems f linear inequalities in tw variables. Time Frame December 1-3 weeks 6-10 Majr Learning Indicatrs Identify situatins relevant

More information

MECHANICS OF SOLIDS TORSION TUTORIAL 2 TORSION OF THIN WALLED SECTIONS AND THIN STRIPS

MECHANICS OF SOLIDS TORSION TUTORIAL 2 TORSION OF THIN WALLED SECTIONS AND THIN STRIPS MECHANICS OF SOLIDS ORSION UORIAL ORSION OF HIN WALLED SECIONS AND HIN SRIPS Yu shuld judge yur prgress by cmpleting the self assessment exercises. On cmpletin f this tutrial yu shuld be able t d the fllwing.

More information

Schedule. Time Varying electromagnetic fields (1 Week) 6.1 Overview 6.2 Faraday s law (6.2.1 only) 6.3 Maxwell s equations

Schedule. Time Varying electromagnetic fields (1 Week) 6.1 Overview 6.2 Faraday s law (6.2.1 only) 6.3 Maxwell s equations chedule Time Varying electrmagnetic fields (1 Week) 6.1 Overview 6.2 Faraday s law (6.2.1 nly) 6.3 Maxwell s equatins Wave quatin (3 Week) 6.5 Time-Harmnic fields 7.1 Overview 7.2 Plane Waves in Lssless

More information

3.4 Shrinkage Methods Prostate Cancer Data Example (Continued) Ridge Regression

3.4 Shrinkage Methods Prostate Cancer Data Example (Continued) Ridge Regression 3.3.4 Prstate Cancer Data Example (Cntinued) 3.4 Shrinkage Methds 61 Table 3.3 shws the cefficients frm a number f different selectin and shrinkage methds. They are best-subset selectin using an all-subsets

More information

Elements of Machine Intelligence - I

Elements of Machine Intelligence - I ECE-175A Elements f Machine Intelligence - I Ken Kreutz-Delgad Nun Vascncels ECE Department, UCSD Winter 2011 The curse The curse will cver basic, but imprtant, aspects f machine learning and pattern recgnitin

More information

Revised 2/07. Projectile Motion

Revised 2/07. Projectile Motion LPC Phsics Reised /07 Prjectile Mtin Prjectile Mtin Purpse: T measure the dependence f the range f a prjectile n initial elcit height and firing angle. Als, t erif predictins made the b equatins gerning

More information

An RWG Basis Function Based Near- to Far-Field Transformation for 2D Triangular-Grid Finite Difference Time Domain Method

An RWG Basis Function Based Near- to Far-Field Transformation for 2D Triangular-Grid Finite Difference Time Domain Method An RWG Basis Functin Based Near- t Far-Field Transfrmatin fr D Triangular-Grid Finite Difference Time Dmain Methd Xuan Hui Wu, Student Member, IEEE, Ahmed A. Kishk, Fellw, IEEE, and Allen W. Glissn, Fellw,

More information

Bootstrap Method > # Purpose: understand how bootstrap method works > obs=c(11.96, 5.03, 67.40, 16.07, 31.50, 7.73, 11.10, 22.38) > n=length(obs) >

Bootstrap Method > # Purpose: understand how bootstrap method works > obs=c(11.96, 5.03, 67.40, 16.07, 31.50, 7.73, 11.10, 22.38) > n=length(obs) > Btstrap Methd > # Purpse: understand hw btstrap methd wrks > bs=c(11.96, 5.03, 67.40, 16.07, 31.50, 7.73, 11.10, 22.38) > n=length(bs) > mean(bs) [1] 21.64625 > # estimate f lambda > lambda = 1/mean(bs);

More information

^YawataR&D Laboratory, Nippon Steel Corporation, Tobata, Kitakyushu, Japan

^YawataR&D Laboratory, Nippon Steel Corporation, Tobata, Kitakyushu, Japan Detectin f fatigue crack initiatin frm a ntch under a randm lad C. Makabe," S. Nishida^C. Urashima,' H. Kaneshir* "Department f Mechanical Systems Engineering, University f the Ryukyus, Nishihara, kinawa,

More information

Computational Methods CMSC/AMSC/MAPL 460. Ramani Duraiswami, Dept. of Computer Science

Computational Methods CMSC/AMSC/MAPL 460. Ramani Duraiswami, Dept. of Computer Science Cmputatinal Methds CMSC/AMSC/MAPL 460 Ramani Duraiswami, Dept. f Cmputer Science Curse Gals Intrductin t the use f scientific cmputing techniques t slve prblems in varius dmains Understand principles behind

More information

BASIC DIRECT-CURRENT MEASUREMENTS

BASIC DIRECT-CURRENT MEASUREMENTS Brwn University Physics 0040 Intrductin BASIC DIRECT-CURRENT MEASUREMENTS The measurements described here illustrate the peratin f resistrs and capacitrs in electric circuits, and the use f sme standard

More information

L a) Calculate the maximum allowable midspan deflection (w o ) critical under which the beam will slide off its support.

L a) Calculate the maximum allowable midspan deflection (w o ) critical under which the beam will slide off its support. ecture 6 Mderately arge Deflectin Thery f Beams Prblem 6-1: Part A: The department f Highways and Public Wrks f the state f Califrnia is in the prcess f imprving the design f bridge verpasses t meet earthquake

More information

COMP 551 Applied Machine Learning Lecture 11: Support Vector Machines

COMP 551 Applied Machine Learning Lecture 11: Support Vector Machines COMP 551 Applied Machine Learning Lecture 11: Supprt Vectr Machines Instructr: (jpineau@cs.mcgill.ca) Class web page: www.cs.mcgill.ca/~jpineau/cmp551 Unless therwise nted, all material psted fr this curse

More information

Metering Principles & Configurations

Metering Principles & Configurations Metering Principles & Cnfiguratins CT PT Startc Western Energy Cmpany DCS Presenters Western Energy Cmpany Mnte Wilke Electrical Superintendent Curt Brckel Electrical Supervisr Brady Clbert Electrical

More information

ON THE COMPUTATIONAL DESIGN METHODS FOR IMPROOVING THE GEAR TRANSMISSION PERFORMANCES

ON THE COMPUTATIONAL DESIGN METHODS FOR IMPROOVING THE GEAR TRANSMISSION PERFORMANCES ON THE COMPUTATIONAL DESIGN METHODS FOR IMPROOVING THE GEAR TRANSMISSION PERFORMANCES Flavia Chira 1, Mihai Banica 1, Dinu Sticvici 1 1 Assc.Prf., PhD. Eng., Nrth University f Baia Mare, e-mail: Flavia.Chira@ubm.r

More information

Hollow block s structural impact on the thermal behaviour of PCM wall

Hollow block s structural impact on the thermal behaviour of PCM wall th Internatinal Cnference On Building Energy, Envirnment Hllw blck s structural impact n the thermal behaviur f PCM wall Y. Zhang, Q. Wang Schl f Energy Pwer Engineering Jiangsu University, Zhenjiang,

More information

FEM for engineering applications (SE1025), 6 hp, Fall 2011

FEM for engineering applications (SE1025), 6 hp, Fall 2011 KTH Slid Mechanics SE1025 FEM. FEM fr engineering applicatins (SE1025), 6 hp, Fall 2011 FEM fr engineering applicatins (SE1025), 6 hp, Fall 2011 1. General infrmatin The curse gives an intrductin t energy

More information

1.1 The main transmission network of Eskom The classical two generator model 11

1.1 The main transmission network of Eskom The classical two generator model 11 LIST OF FIGURS Figure Page 1.1 The main transmissin netwrk f skm 4 2.1 The classical tw generatr mdel 11 2.2 Obtaining the lcatin f the electrical centre. The line cnnecting A with B represents the netwrk

More information

Guaranteeing Reliability with Vibration Simulation and Testing. Dr. Nathan Blattau

Guaranteeing Reliability with Vibration Simulation and Testing. Dr. Nathan Blattau Guaranteeing Reliability with Vibratin Simulatin and Testing Dr. Nathan Blattau . Nathan Blattau, Ph.D. - Senir Vice President Has been invlved in the packaging and reliability f electrnic equipment fr

More information

Distributions, spatial statistics and a Bayesian perspective

Distributions, spatial statistics and a Bayesian perspective Distributins, spatial statistics and a Bayesian perspective Dug Nychka Natinal Center fr Atmspheric Research Distributins and densities Cnditinal distributins and Bayes Thm Bivariate nrmal Spatial statistics

More information

Level Control in Horizontal Tank by Fuzzy-PID Cascade Controller

Level Control in Horizontal Tank by Fuzzy-PID Cascade Controller Wrld Academy f Science, Engineering and Technlgy 5 007 Level Cntrl in Hrizntal Tank by Fuzzy-PID Cascade Cntrller Satean Tunyasrirut, and Santi Wangnipparnt Abstract The paper describes the Fuzzy PID cascade

More information

CHAPTER 4 DIAGNOSTICS FOR INFLUENTIAL OBSERVATIONS

CHAPTER 4 DIAGNOSTICS FOR INFLUENTIAL OBSERVATIONS CHAPTER 4 DIAGNOSTICS FOR INFLUENTIAL OBSERVATIONS 1 Influential bservatins are bservatins whse presence in the data can have a distrting effect n the parameter estimates and pssibly the entire analysis,

More information

22.54 Neutron Interactions and Applications (Spring 2004) Chapter 11 (3/11/04) Neutron Diffusion

22.54 Neutron Interactions and Applications (Spring 2004) Chapter 11 (3/11/04) Neutron Diffusion .54 Neutrn Interactins and Applicatins (Spring 004) Chapter (3//04) Neutrn Diffusin References -- J. R. Lamarsh, Intrductin t Nuclear Reactr Thery (Addisn-Wesley, Reading, 966) T study neutrn diffusin

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN60: Netwrk Thery Bradband Circuit Design Fall 01 Lecture 16: VCO Phase Nise Sam Palerm Analg & Mixed-Signal Center Texas A&M University Agenda Phase Nise Definitin and Impact Ideal Oscillatr Phase

More information

3D FE Modeling Simulation of Cold Rotary Forging with Double Symmetry Rolls X. H. Han 1, a, L. Hua 1, b, Y. M. Zhao 1, c

3D FE Modeling Simulation of Cold Rotary Forging with Double Symmetry Rolls X. H. Han 1, a, L. Hua 1, b, Y. M. Zhao 1, c Materials Science Frum Online: 2009-08-31 ISSN: 1662-9752, Vls. 628-629, pp 623-628 di:10.4028/www.scientific.net/msf.628-629.623 2009 Trans Tech Publicatins, Switzerland 3D FE Mdeling Simulatin f Cld

More information

EXPERIMENTAL STUDY ON DISCHARGE COEFFICIENT OF OUTFLOW OPENING FOR PREDICTING CROSS-VENTILATION FLOW RATE

EXPERIMENTAL STUDY ON DISCHARGE COEFFICIENT OF OUTFLOW OPENING FOR PREDICTING CROSS-VENTILATION FLOW RATE EXPERIMENTAL STUD ON DISCHARGE COEFFICIENT OF OUTFLOW OPENING FOR PREDICTING CROSS-VENTILATION FLOW RATE Tmnbu Gt, Masaaki Ohba, Takashi Kurabuchi 2, Tmyuki End 3, shihik Akamine 4, and Tshihir Nnaka 2

More information

A Few Basic Facts About Isothermal Mass Transfer in a Binary Mixture

A Few Basic Facts About Isothermal Mass Transfer in a Binary Mixture Few asic Facts but Isthermal Mass Transfer in a inary Miture David Keffer Department f Chemical Engineering University f Tennessee first begun: pril 22, 2004 last updated: January 13, 2006 dkeffer@utk.edu

More information

Interference is when two (or more) sets of waves meet and combine to produce a new pattern.

Interference is when two (or more) sets of waves meet and combine to produce a new pattern. Interference Interference is when tw (r mre) sets f waves meet and cmbine t prduce a new pattern. This pattern can vary depending n the riginal wave directin, wavelength, amplitude, etc. The tw mst extreme

More information

Five Whys How To Do It Better

Five Whys How To Do It Better Five Whys Definitin. As explained in the previus article, we define rt cause as simply the uncvering f hw the current prblem came int being. Fr a simple causal chain, it is the entire chain. Fr a cmplex

More information

IAML: Support Vector Machines

IAML: Support Vector Machines 1 / 22 IAML: Supprt Vectr Machines Charles Suttn and Victr Lavrenk Schl f Infrmatics Semester 1 2 / 22 Outline Separating hyperplane with maimum margin Nn-separable training data Epanding the input int

More information