ECE260B CSE241A Winter Statistical Timing Analysis and SPICE Simulation

Size: px
Start display at page:

Download "ECE260B CSE241A Winter Statistical Timing Analysis and SPICE Simulation"

Transcription

1 ECE260B CSE24A Winter 2005 Statistical Timing Analysis and SPICE Simulation Website: / courses/ ece260bw05 ECE 260B CSE 24A Statistical Timing Analysis & SPICE

2 Outline Static timing analysis Timing constraints Timing graph False path Variations Sources of variation and levels of analysis Monte Carlo SPICE simulation Statistical static timing analysis Pdf propagation Correlation (bounds, PCA, interval-valued) Gate and interconnect delay variation ECE 260B CSE 24A Statistical Timing Analysis & SPICE 2

3 Summary: Timing Constraints Synchronous design = combinational logic + sequential elements For each flip-flop: T max + T setup < T cycle - T skew CLK FF Q combinational logic D FF T min > T hold + T skew T max : longest data propagation path delay T min : shortest data propagation path delay CLK DATA T cycle T hold T setup ECE 260B CSE 24A Statistical Timing Analysis & SPICE 3

4 Timing Analysis Testing Simulation Device modeling (BSIM) Transistor-level time domain analysis (SPICE) Frequency domain interconnect analysis (AWE, PRIMA) Static timing analysis Transistor-level (PathMill) Gate-level (PrimeTime) ECE 260B CSE 24A Statistical Timing Analysis & SPICE 4

5 Data paths with timing constraints Starting from primary inputs/ff outputs Ending at primary outputs/ff inputs Represented by a labeled directed graph G = <V,E> 0 0 Timing Graph A C B Timing node V ~pin/primary input/output Timing edge E ~gate/wire delay (Timing arc ~gate delay) 2 U V.20 X Y.20 2 Z.5 F 0 0 A C B 2 2 U V X Y 2 2 Z.5 F Courtesy K. Keutzer et al. UCB ECE 260B CSE 24A Statistical Timing Analysis & SPICE 5

6 Characterization Static analysis = vector-less worst case analysis Graph based path propagation No logics Pre-characterized look-up tables for gate delays Min/max/rise/fall Characterized interconnect delays On-the-fly delay calculation SDF (standard delay format) annotation X Y 2 Z X 2 Z ECE 260B CSE 24A Statistical Timing Analysis & SPICE 6 Y 2

7 Compute Longest Path Origin 0 0 A U.20 X 2 C.5 2 V.20 Z B 2 2 Y (Kirkpatrick 966, IBM JRD) F Compute longest path in a DAG G = <V,E,delay,Origin> / / delay is set of labels, Origin is the super-source of the DAG Forward-prop(W){ for each vertex v in W for each edge <v,w> from v Final-delay(w) = max(final-delay(w), delay(v) + delay(w) + delay(<v,w>)) if all incoming edges of w have been traversed, add w to W } Longest path(g){ Forward_prop(Origin) } Courtesy K. Keutzer et al. UCB ECE 260B CSE 24A Statistical Timing Analysis & SPICE 7

8 Compute Longest Path Origin 0 0 A U.20 X 2 C.5 2 V.20 Z B 2 2 Y (Kirkpatrick 966, IBM JRD) F Compute longest path in a DAG G = <V,E,delay,Origin> / / delay is set of labels, Origin is the super-source of the DAG Forward-prop(W){ for each vertex v in W for each edge <v,w> from v Final-delay(w) = max(final-delay(w), delay(v) + delay(w) + delay(<v,w>)) if all incoming edges of w have been traversed, add w to W } Longest path(g){ Forward_prop(Origin) } Dynamic programming How to exclude a set of paths? Courtesy K. Keutzer et al. UCB ECE 260B CSE 24A Statistical Timing Analysis & SPICE 8

9 Timing Analysis Terminology Actual arrival time (AAT): forward propagation Required arrival time (RAT): backward propagation Slack = RAT - AAT A measure of how much timing margin exists at each node Slack < 0 timing violation Can optimize a particular branch Can trade slack for power, area, robustness Critical path clock ECE 260B CSE 24A Statistical Timing Analysis & SPICE 9

10 Timing Exceptions False paths: topologically connected but logically impossible to enable To enable a path Logically: non-controlling values (e.g., 0 for OR gates, for AND gates) at side inputs Temporally: earlier signal transitions at side inputs clock ECE 260B CSE 24A Statistical Timing Analysis & SPICE 0

11 False Path Representation Abstracted graph Set_false_path -from { } through { } -through { } to { } from through through to from through through to ECE 260B CSE 24A Statistical Timing Analysis & SPICE

12 False Path Identification Tagged timing analysis Arrival times with the same tag are compared to find worst case False path filtered b arr: tag: 0 arr: 2 tag: 2 d a clock c arr: 3 tag: 3 a from through through to d b tag: 2 c tag: 3 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 2

13 Handling Latch-Based Designs Latch: level enabling sequential element Transparent signal propagation Latch Time borrowing Path delay of previous stage T borrow combinational logic CLK D Q combinational logic Path delay of current stage + T borrow CLK DATA transparent T borrow ECE 260B CSE 24A Statistical Timing Analysis & SPICE 3

14 Outline Static timing analysis Timing constraints Timing graph False path Variations Sources of variation and levels of analysis Monte Carlo SPICE simulation Statistical static timing analysis Pdf propagation Correlation (bounds, PCA, interval-valued) Gate and interconnect delay variation ECE 260B CSE 24A Statistical Timing Analysis & SPICE 4

15 Variations Variability is proportionately increasing manufacturing - FEOL: critical dimensions are scaling faster than our control of them - BEOL: variability dramatically increases the number of independent and significant sources of variation environmental (Vdd, temperature) fatigue (hot electron effect) across-chip (OCV/ACLV, temperature, Vdd) circuit design (PLL jitter, coupling noise) model-to-hardware correlation ECE 260B CSE 24A Statistical Timing Analysis & SPICE 5

16 Delay impact of variations BEOL metal Parameter Delay Impact -0% +25% (Metal mistrack, thin/ thick wires) Environmental (Voltage islands, IR drop, temperature) Device fatigue (hot electron effects) V t and T ox device family tracking ±5 % ±0% ± 5% (Can have multiple V t and T ox device families) Model/ hardware uncertainty ± 5% (Per cell type) N/P mistrack ±0% (Fast rise/ slow fall, fast fall/ slow rise) PLL ±0% (Jitter, duty cycle, phase error) [Courtesy Kerim Kalafala] Requires 2 20 timing runs or [-65%,+80%] guard band! ECE 260B CSE 24A Statistical Timing Analysis & SPICE 6

17 Process Variations Sources of Variations:. Environmental factors 2. Physical factors Figure: Device and interconnect variation trends for different technology generations.the percentage of the total variation accounted for by within-die variation for selected parameters. Source: Models of process variations in device and interconnect by Duane Boning, MIT & Sani Nassif, IBM ARL. ECE 260B CSE 24A Statistical Timing Analysis & SPICE 7

18 Process Variations (..contd) Figure: Statistical distribution of 6-bit adder Critical Path delay 0.8µm technology. 3σ -worst case of monte-carlo simulation CWC: classical worst case model Process Parameters: Oxide thickness, Length, Width, Threshold voltage Impact of Unrealistic Worst Case Modeling on the Performance of VLSI circuits in Deep Submicron Region CMOS Technologies - A.Nardi, A.Neviani, E.Zanoni,M.Quarantelli, IEEE 99 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 8

19 Process Variation Classification systematic - CMP and OPC related random - fluctuations in doping concentration, lens aberration - modeling limitations inter-chip - from die to die / wafer to wafer / lot to lot intra-chip - within a single die - spatially correlated ECE 260B CSE 24A Statistical Timing Analysis & SPICE 9

20 Counting Process Variation Off-chip variation: two paths on a chip cannot use two different operating conditions (i.e., corners) at the same time for setup or hold analysis Launchclock_latepath (max) + data_latepath (max) < captureclock_earlypath (max) + clock_period setup Launchclock_earlypath (min) + data_earlypath (min) > captureclock_latepath (min) + hold On-chip variation: the software calculates the delay for one path based on maximum operating condition while calculating the delay for another path based on minimum operating condition for setup or hold checks Statistical static timing analysis (SSTA) Based on discrete corners pdf Continuous pdf (probability distribution functions) ECE 260B CSE 24A Statistical Timing Analysis & SPICE 20

21 Clock Re-convergence Pessimism Removal Common part of two clock propagation paths cannot have two different path delays at the same time Need to compute clock propagation delay from the branch point FF Q max combinational logic D FF min CLK max Common part ECE 260B CSE 24A Statistical Timing Analysis & SPICE 2

22 Outline Static timing analysis Variations Monte Carlo SPICE simulation Statistical static timing analysis ECE 260B CSE 24A Statistical Timing Analysis & SPICE 22

23 Circuit Simulation Kirchhoff s Voltage Law (KVL): the algrbraic sum of the voltage drops along any loop in a circuit equals zero Kirchhoff s Current Law (KCL): the algebraic sum of all the currents flowing out of (or into) any node in a circuit is zero ECE 260B CSE 24A Statistical Timing Analysis & SPICE 23

24 Modified Nodal Analysis c v i 3 o u t = 0 0 v g g 0 v 0 0 v 2 g g + g 2 g 2 0 v = c 2 0 v 3 0 g 2 g 2 0 v 0 0 i o u t i v or v T v 3 i N = L x n i o u t 2 3 o u t u 0 C x = G x + B u n n N N u N I out g v v g 2 2 v 3 c c 2 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 24

25 SPICE Input.include 30nm_model R node node2 20 C node 0.2f C2 node2 0.5f X innode node vddnode 0 inv Vsp vddnode 0.0 Vi innode 0 pwl( p 0 20n 0).op.tr p 25000p.plot v(innode) v(node2) i(c).end ECE 260B CSE 24A Statistical Timing Analysis & SPICE 25

26 SPICE Input * This is the 30nm_model file.temp 25.param size= 0.3u.subckt inv in out vdd vgnd m out in vdd vdd pmos w= 2*size l=0.3u m2 out in vgnd vgnd nmos w=size l=0.3u.ends inv.model pmos pmos +Level = 49 +Lint = 3.25e 08 Tox =.6e 09 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 26

27 Monte Carlo Analysis in HSPICE To set up a Monte Carlo Analysis, use the following HSPICE statements:.param sets a model or element parameter to a Gaussian, Uniform, or Limit function distribution.dc,.ac, or.tran analysis enables Monte Carlo.measure calculates the output mean, variance, sigma, and standard deviation.model sets model parameters to a Gaussian, Uniform, or Limit function distribution ECE 260B CSE 24A Statistical Timing Analysis & SPICE 27

28 Monte Carlo Analysis in SPICE Simulation * This enables Monte Carlo analysis.param xx=gauss(nominal, rel_variation, sigma<,multiplier>).tr n 0n sweep monte=list(0 20:30 40) ECE 260B CSE 24A Statistical Timing Analysis & SPICE 28

29 Outline Static timing analysis Timing constraints Timing graph False path Variations Monte Carlo SPICE simulation Statistical static timing analysis Pdf propagation Correlation (bounds, PCA, interval-valued) Gate and interconnect delay variation ECE 260B CSE 24A Statistical Timing Analysis & SPICE 29

30 Probabilistic Timing: Formulation Given: A probabilistic timing graph a graph with random node delays. Joint pdf of node delays, d( N ) Find: P (max D( G ) t ) P G = ( N, E), P C A W B X Y Z f Deterministic STA requires two algebraic operations Summation of delay Taking maximum D ( Z ) = max{ D ( X ) + d, D ( Y ) + d } X Z Y Z Probabilistic max is difficult Identity of longest path is random Exact evaluation for arbitrary pdfs is computationally prohibitive ECE 260B CSE 24A Statistical Timing Analysis & SPICE 30

31 Objective of Statistical Timing Analysis & Yield Prediction Find probability distribution of circuit delay PDF / CDF can be represented by continuous or discrete functions P P t t t Probability density function (pdf) Cumulative distribution function (cdf)

32 Strategy : Bounding the p.d.f Assumptions: A delay pdf is non-zero only on a finite interval A delay pdf equals 0 for all delay values < d min and for all values > d max Approximate continuous pdf and PDF with discrete functions Arrival Time PDF of n, An(t) = Probability( G D in the sample space has an arrival time) t a n t Graph delay computed by propagating arrival times from source node to sink node.. ECE 260B CSE 24A Statistical Timing Analysis & SPICE 32

33 Strategy : Bounding the p.d.f Graph transforms: Series Reduction n p n2 q n3 (t) (t) Convolution: Pr {D G P t}= p G t P = 0 t t t p t q t 2 dt dt 2 p t q d Parallel Reduction p(t) n n2 Pr {D G P t}= max t,t t p t q t 2 dt dt 2 q(t) P G P t =P t.q t ECE 260B CSE 24A Statistical Timing Analysis & SPICE 33

34 Strategy : Bounding the p.d.f Arrival Time Propagation: Independent Arrival Time propagation n 2 (A 2 ) n S n(a) n (A ) n S E n 3 n 2 (A 2 ) n(a) E 2 n (A ) ECE 260B CSE 24A Statistical Timing Analysis & SPICE 34

35 Strategy 2: Gaussian Processes Problem formulation: Assuming gate delays to be correlated Normal Random variable, compute the Mean and Variance of critical path delay MAX(D) Basic Idea: Transforming series-edges, n n2 n3 n n3 x x 2 t=x x 2 Exp [ t ]= 2 Var [ t ]=Var [ x ] Var [ x 2 ] 2.Cov [ x, x 2 ] Cov [ x x 2, x 3 ]=Cov [ x, x 3 ] Cov [ x 2, x 3 ] ECE 260B CSE 24A Statistical Timing Analysis & SPICE 35

36 Strategy 2: Gaussian Processes Transforming parallel-edges, N = MAX(N,N 2 ) need not be normal!! n N ~, 2 n2 N 2 ~ 2, 2 2 C. Clark, The greatest of a finite set of random variables. Operations Research, 96 For two stochastic variables X ~, 2 and Y ~ 2, 2 2 with correlation coefficient R[ x, y ]=, the mean Exp [ t ] and variance Var [ t ] of t=max [ x, y ] are obtained by the following equations, unless 2 = =0 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 36

37 Strategy 2: Gaussian Processes Exp [ t ]=. 2.. Var [ t ]= where, = x = 2 x = 2 = 2 exp [ x 2 2 ] x exp [ y2 2 ]dy Exp [ t ] 2 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 37

38 Strategy 2: Gaussian Processes Algorithm: Starts at source node, propagates the mean, variance and covariance structure of the graph until the sink is reached At sink node, we have the Mean and Variance of the critical path delay Results: ECE 260B CSE 24A Statistical Timing Analysis & SPICE 38

39 Strategy 3: Convex Majorization Probabilistic PERT, A. Nadas, IBM R & D Journal, 979 Problem Formulation: Identify a random variable M*, s.t. M* is convexly larger than the random variable M = MAX (D) For any two random variables X and Y X C X Y iff, t Pr X u. du t Pr Y u. du, t Equivalent to comparing residual expectation **E X t = t Pr X u. du ** =max,0 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 39

40 Strategy 3: Convex Majorization Theorem: Assume all edge delays X i have E(X i ) finite. Then. There exists a r.v. M* s.t. max j Pj n x i t i = E M* t =min {x i } E X i x i 2. M, the critical path length is convexly no larger than M* 3. The solution can be recast as the following constrained minimization problem: E M* t =min x i n i= E X i x i Subject to x i t j P j ECE 260B CSE 24A Statistical Timing Analysis & SPICE 40

41 Strategy 3: Convex Majorization 4. Let λ j be the Lagrange Multiplier associated with the j-th path constraint in the minimization problem. Then, for M, the critical path delay, j =Pr M = P j X i t j=,2,...,n is the probability that the j th path is critical, i.e., the j th bottleneck probability Computes a tight upper bound on the critical path delay, M, and also identifies the bottleneck probabilities of each path as a side-product. Computational complexity is very high!!! ECE 260B CSE 24A Statistical Timing Analysis & SPICE 4

42 Statistical timing tools Path-based conduct a nominal timing analysis list a representative set of critical paths (question: how may paths? question: which paths?) model the delay/slack of each path as a function of random variables (the underlying sources of variation) predict the parametric yield curve (statistical MIN of all path slacks), as well as generate diagnostics Block-based propagate arrival times and required arrival times in the form of probability distributions linear time approximate, quick-and-dirty ECE 260B CSE 24A Statistical Timing Analysis & SPICE 42

43 Statistical timing tools Path-based Slow and accurate Non-incremental; for sign-off Parameter-space methods More general (usually Monte-Carlo-based) Fabrication-parameter diagnostics Block-based Quick and dirty Incremental; for (robust) optimization Performance-space methods Assumes symmetry and linearity Criticality probabilities useful to circuit designer ECE 260B CSE 24A Statistical Timing Analysis & SPICE 43

44 Approaches to Statistical Timing Block - based approaches Approximations of distribution of max [Berkelaar, 98] Recent extension to handling spatial correlation [Chen, 03] Parameter - space integration methods Accurate, small number of sources of variation [Visweswariah, 03] Monte - Carlo simulation Can be sped up to be competitive [Scheffer, 04] Bounds - based methods Convex majorization [Nadas, 79] Stochastic majorization [Orshansky, 02; this work] ECE 260B CSE 24A Statistical Timing Analysis & SPICE 44

45 Outline Static timing analysis Timing constraints Timing graph False path Variations Monte Carlo SPICE simulation Statistical static timing analysis Pdf propagation Correlation due to fanout reconvergence Correlation among variations ECE 260B CSE 24A Statistical Timing Analysis & SPICE 45

46 Problem of Correlations Two types of correlation reconvergence spatial correlation gate delay pdfs Arrival time pdf Arrival time pdf B D I A C ECE 260B CSE 24A Statistical Timing Analysis & SPICE 46

47 PDF Propagation with Re-convergence Fanouts Recursive enumeration of re-converging nodes Grows exponentially with number of re-converging nodes in the graph Useful only for small timing graphs or for mostly treelike structures e D k P X =x = i =0 X P X =x D=d. P D=d ECE 260B CSE 24A Statistical Timing Analysis & SPICE 47

48 Dependent Arrival Time Propagation Intersection graph Dependence nodes Global set of dependence nodes Discrete PDF in delay-probability pairs (di, pi) Enumerate AAT PDF propagation of dependence nodes a b c g e d f h n s ECE 260B CSE 24A Statistical Timing Analysis & SPICE 48

49 Dependent Arrival Time Propagation. Identify dependence nodes 2. Propagate AAT PDF until the first dependence node nd 3. For each pair (ti, pi) at the dependence node 4. Propagate ti with cond. prob. pi 5. For each dependence node encountered, repeat 3 6. Collect AAT PDF with cond. prob. at each node e a b c g e d f h n s ECE 260B CSE 24A Statistical Timing Analysis & SPICE 49

50 Bounding the p.d.f Statistical bounds: what is the purpose?? PDF P(t) is an upper bound on arrival time PDF A n (t) iff P t A n t t Pr p t T clk Pr A n t T clk P t A n t if P T clk = Pr A n t T clk Probability that the actual arrival time exceeds T clk is bounded by α PDF A n t P t ECE 260B CSE 24A Statistical Timing Analysis & SPICE 50

51 Bounding the p.d.f Theorem: Let x, y, z be r.v. Let x, x 2 be i.i.d with x. Then PDF of r.v. max (x + y, x 2 + z) is an upper bound on PDF of r.v. max(x+y,x+z) upper bound on arrival time by node splitting! n 2 n s a n n s a n s a 2 n n 3 n 2 n s n 2 n 3 ECE 260B CSE 24A Statistical Timing Analysis & SPICE 5

52 Bounding the p.d.f Lower bound: x, y are lower bounds on max(x,y) Tightest lower bound, if fanin edge with maximum expected value is preserved!!! Results: Compares upper and lower bounds of ISCAS `85 circuits with Monte-carlo simulations Error within 2-3% ECE 260B CSE 24A Statistical Timing Analysis & SPICE 52

53 Outline Static timing analysis Timing constraints Timing graph False path Variations Monte Carlo SPICE simulation Statistical static timing analysis Pdf propagation Correlation due to fanout reconvergence Correlation among variations ECE 260B CSE 24A Statistical Timing Analysis & SPICE 53

54 Statistical Timing Analysis Basic approach: Estimate the distribution of every path delay Compute yield from the joint distribution of all path delays Fundamental statistical issues: Do you consider within-die variations, or only die-to-die? If yes, then are within-die path delay variations independent? If not independent, then how do you capture their correlation? Scale of difficulty: d i e - t o - d i e o n l y d i e - t o - d i e + w i t h i n - d i e w i t h i n d e p e n d e n c e d i e - t o - d i e + w i t h i n - d i e w i t h c o r r e l a t i o n H a r d H a r d e r M u c h H a r d e r ECE 260B CSE 24A Statistical Timing Analysis & SPICE 54

55 Statistical Timing Analysis Recent approaches: Propagate distributions to compute a path delay distribution - Efficient techniques for going through a node in the timing graph Handle within-die correlation using either of: - Quad-tree partitioning [Agarwal, Blaauw, & Zolotov: ICCAD-03] - PCA-based decomposition [Chang & Sapatnekar: ICCAD-03] Apart from integration difficulties, have key problems: Require change of methodology (statistical cell delay models) Require layout information, hence post-placement sign-off - not applicable in circuit design phase, pre-placement Get the correlation information from process ECE 260B CSE 24A Statistical Timing Analysis & SPICE 55

56 Characterization Methodology: Gate Delay Distribution Process Parameter Distributions p 2 Sensitivity Analysis (SPICE) Cell library p Parametrical Gate Delay Model n om dg n om dg = d g + ( pi - p i ) pi Mean gate delays d 2 Gate delay variance, d 2 d Gate Delay Distribution Gate delay covariance cov{ dg ( i ), dg ( j )}

57 Computing Path Delay Distribution Use deterministic STA with nominal delay values to generate a set of near-critical paths nom i max i i G P Π = { D D ( ) }, where is a path through D = { D ( ) Π} is a random vector of path delays i i Direct (computationally expensive) characterization of cov {D} Gate Delay Distribution A X Path Delay Distribution d 2 d C W B Y Z f D 2 D m i m j i j g i g j k = k = cov{ D, D } = cov{ d ( i, k ), d ( j, k )} ECE 260B CSE 24A Statistical Timing Analysis & SPICE 57 i j

58 Sensitivity Based Analysis Variations in geometric dimensions changes in electrical parameters R = R nom + a W+a 2 T C = C nom + b W+b 2 T+b 3 H Electrical parameters moments Moments delays Variations need to be small so that first order approximation is reasonably accurate ECE 260B CSE 24A Statistical Timing Analysis & SPICE 58

59 Interval Analysis Simple interval arithmetic X = [ x.lo, x.hi] Z = x + y = [ x.lo+y.lo, x.hi+y.hi] Affine interval arithmetic preserves correlations among variables, in a form analogous to a first-order Taylor series X = x 0 +x ε +x 2 ε 2 + +x n ε n Z = x + y = (x 0 + y 0 )+(x + y )ε +(x 2 + y 2 )ε 2 + +(x n + y n )ε n Each uncertainty symbol ε i stands for an independent component Approximate quadratic uncertainty terms by a new uncertainty symbol Push interval values through model reduction and delay calculation algorithms ECE 260B CSE 24A Statistical Timing Analysis & SPICE 59

60 Variational Analysis Matrix perturbation theory Let A be a symmetric matrix with eigenvalues λ >λ 2 > λ n and A =A+E denote a symmetric perturbation of A with eigenvalues λ >λ 2 > λ n Let the eigenvalues of E be ε >ε 2 > ε n Then, for I =,, n, λ i [ λ i +ε n, λ i +ε ] A = G - C which eigenvalues give poles ECE 260B CSE 24A Statistical Timing Analysis & SPICE 60

61 The Link to Process Quad-tree partitioning requires knowledge of the autocorrelation function: At what distances (on the die) does correlation become weak? PCA (principal components analysis) transform a set of correlated parameters into an uncorrelated set X a a a 2 p Z X a 2 2 a22 a2p = p X n an an 2 a np The X i are correlated, the Z i are independent, n > p typically Reduce number of random variables How are the PCA coefficients to be obtained? Z ECE 260B CSE 24A Statistical Timing Analysis & SPICE 6

62 Proposed Solution # Focus not on the particular design, but on design type: What is a typical transistor in this technology? What is a typical gate in this circuit design style? What is a typical path length in this class of design? Assume the circuit consists of a large number of such generic paths, spread across the die Compute yield from the aggregate statistical properties of such a large ensemble (population) of paths This eliminates the dependence on layout information for a particular design, allowing pre-placement analysis ECE 260B CSE 24A Statistical Timing Analysis & SPICE 62

63 Proposed Solution #2 Handle correlation using PCA but do not require the individual PCA coefficient values: Starting with the PCA fact: Use the Cauchy inequality: p p 2 2 i = ij j σ X = i ij j= j= X a Z a Leading to: p p p p 2 2 aij z j aijz j aij z j j= j= j= j= p p 2 aij z j σ X i z j j= j= Get a yield upper bound that requires only σ X, not a ij, but need to know p, the order of the PCA ECE 260B CSE 24A Statistical Timing Analysis & SPICE 63

64 Thanks A. Agarwal, D. Blaauw, U. of Michigan M. Orshansky, A. Bandyopadhyay, U. of Texas, Austin N. Menezes, Intel Inc. F. N. Najm, U. of Toronto S. Raj, S. Vrudhura, U. of Arizona V. Zolotov, Motorola Inc. ECE 260B CSE 24A Statistical Timing Analysis & SPICE 64

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen ECE Department, University of Wisconsin, Madison, WI53706-1691, USA E-mail:

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

SINCE the early 1990s, static-timing analysis (STA) has

SINCE the early 1990s, static-timing analysis (STA) has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 4, APRIL 2008 589 Keynote Paper Statistical Timing Analysis: From Basic Principles to State of the Art David

More information

Pre and post-silicon techniques to deal with large-scale process variations

Pre and post-silicon techniques to deal with large-scale process variations Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University Outline Introduction to Variability Pre-silicon

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Parameterized Timing Analysis with General Delay Models and Arbitrary Variation Sources

Parameterized Timing Analysis with General Delay Models and Arbitrary Variation Sources Parameterized Timing Analysis with General elay Models and Arbitrary Variation Sources ABSTRACT Khaled R. Heloue epartment of ECE University of Toronto Toronto, Ontario, Canada khaled@eecg.utoronto.ca

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Statistical Performance Analysis and Optimization of Digital Circuits

Statistical Performance Analysis and Optimization of Digital Circuits Statistical Performance Analysis and Optimization of Digital Circuits by Kaviraj Chopra A dissertation submitted in partial fulfilment of the requirements for the degree of Doctor of Philosophy (Computer

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis EEC 118 Lecture #16: Manufacturability Rajeevan Amirtharajah University of California, Davis Outline Finish interconnect discussion Manufacturability: Rabaey G, H (Kang & Leblebici, 14) Amirtharajah, EEC

More information

ESE535: Electronic Design Automation. Delay PDFs? (2a) Today. Central Problem. Oxide Thickness. Line Edge Roughness

ESE535: Electronic Design Automation. Delay PDFs? (2a) Today. Central Problem. Oxide Thickness. Line Edge Roughness ESE535: Electronic Design Automation Delay PDFs? (2a) Day 23: April 10, 2013 Statistical Static Timing Analysis Penn ESE535 Spring 2013 -- DeHon 1 Penn ESE535 Spring 2013 -- DeHon 2 Today Sources of Variation

More information

Statistical Analysis Techniques for Logic and Memory Circuits

Statistical Analysis Techniques for Logic and Memory Circuits Statistical Analysis Techniques for Logic and Memory Circuits A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Qunzeng Liu IN PARTIAL FULFILLMENT OF THE

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

A Geometric Programming-based Worst-Case Gate Sizing Method Incorporating Spatial Correlation

A Geometric Programming-based Worst-Case Gate Sizing Method Incorporating Spatial Correlation A Geometric Programming-based Worst-Case Gate Sizing Method Incorporating Spatial Correlation Jaskirat Singh Vidyasagar Nookala Zhi-Quan Luo Sachin S. Sapatnekar Department of Electrical & Computer Engineering

More information

Fast Buffer Insertion Considering Process Variation

Fast Buffer Insertion Considering Process Variation Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel, Mindspeed Agenda Introduction and motivation

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Statistical Performance Modeling and Optimization

Statistical Performance Modeling and Optimization Foundations and Trends R in Electronic Design Automation Vol. 1, No. 4 (2006) 331 480 c 2007 X. Li, J. Le and L. T. Pileggi DOI: 10.1561/1000000008 Statistical Performance Modeling and Optimization Xin

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders EECS 427 Lecture 14: Timing Readings: 10.1-10.3 EECS 427 F09 Lecture 14 1 Reminders CA assignments Please submit CA6 by tomorrow noon CA7 is due in a week Seminar by Prof. Bora Nikolic SRAM variability

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

EEE2135 Digital Logic Design

EEE2135 Digital Logic Design EEE2135 Digital Logic Design Chapter 7. Sequential Circuits Design 서강대학교 전자공학과 1. Model of Sequential Circuits 1) Sequential vs. Combinational Circuits a. Sequential circuits: Outputs depend on both the

More information

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Variability Aware Statistical Timing Modelling Using SPICE Simulations Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008 2 Contents List

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Previously Understand how to model transistor behavior Given that we know its parameters V dd, V th, t OX, C OX, W, L, N A Day

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits UNLV Theses, Dissertations, Professional Papers, and Capstones 12-1-2013 Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits Abu M. Baker University

More information

POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS

POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS POST-SILICON TIMING DIAGNOSIS UNDER PROCESS VARIATIONS by Lin Xie A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering) at

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 7, 2002 Name: No. Points Score 1. 18 2. 22 3. 30 TOTAL / 70 In recognition of and in the spirit of the Stanford University Honor Code, I certify

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline q A Brief History q CMOS Gate esign q Pass Transistors q CMOS Latches & Flip-Flops q Standard Cell Layouts q Stick iagrams 2 A Brief History q 1958: First integrated

More information

1826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 10, OCTOBER /$25.

1826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 10, OCTOBER /$25. 186 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 7, NO. 10, OCTOBER 008 Early Analysis and Budgeting of Margins and Corners Using Two-Sided Analytical Yield Models

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Dept. Information Systems Engineering, Osaka Univ., Japan

Dept. Information Systems Engineering, Osaka Univ., Japan Statistical Timing Analysis Considering Spatially and Temporally Correlated Dynamic Power Supply Noise Takashi Enami Shinyu Ninomiya Masanori Hashimoto Dept. Information Systems Engineering, Osaka Univ.,

More information

Design for Variability and Signoff Tips

Design for Variability and Signoff Tips Design for Variability and Signoff Tips Alexander Tetelbaum Abelite Design Automation, Walnut Creek, USA alex@abelite-da.com ABSTRACT The paper provides useful design tips and recommendations on how to

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

Lecture 27: Latches. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

Lecture 27: Latches. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory EE241 - Spring 2008 Advanced Digital Integrated Circuits Lecture 27: Latches Timing Announcements Wrapping-up the class: Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday,

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester,

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VII Timing Issues in Digital Circuits (chapter 10 in textbook) GMU, ECE 680 Physical VLSI Design 1 Synchronous Timing (Fig. 10 1) CLK In R Combinational 1 R Logic 2

More information

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs EECS 9B Spring 3 Timing Analysis - Delay Models Simple model : D k Ak A A A3 Timing Analysis A k = arrival time = max(a,a,a 3 ) + D k D k is the delay at node k, parameterized according to function f k

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla

An Analytical Approach to Efficient Circuit Variability Analysis. in Scaled CMOS Design. Samatha Gummalla An Analytical Approach to Efficient Circuit Variability Analysis in Scaled CMOS Design by Samatha Gummalla A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science

More information

Accurate Estimation and Modeling of Total Chip Leakage Considering Inter- & Intra-Die Process Variations

Accurate Estimation and Modeling of Total Chip Leakage Considering Inter- & Intra-Die Process Variations Accurate Estimation and Modeling of Total Chip eaage Considering nter- & ntra-die Process Variations Amit Agarwal, Kunhyu Kang and Kaushi Roy School of Electrical and Computer Engineering Purdue University

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 17: March 23, 2017 Energy and Power Optimization, Design Space Exploration, Synchronous MOS Logic Lecture Outline! Energy and Power Optimization

More information

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components Objective: Power Components Outline: 1) Acknowledgements 2) Objective and Outline 1 Acknowledgement This lecture note has been obtained from similar courses all over the world. I wish to thank all the

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Total Power. Energy and Power Optimization. Worksheet Problem 1 ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 16: March 20, 2018 Energy and Power Optimization, Design Space Exploration Lecture Outline! Energy and Power Optimization " Tradeoffs! Design

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

OPERA: OPtimization with Ellipsoidal uncertainty for Robust Analog IC design

OPERA: OPtimization with Ellipsoidal uncertainty for Robust Analog IC design OPERA: OPtimization with Ellipsoidal uncertainty for Robust Analog IC design Yang Xu, Kan-Lin Hsiung, Xin Li Ivan Nausieda, Stephen Boyd, Larry Pileggi June 16, 005 Contents Introduction Background Proposed

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip Flops M. Ghasemazar, B. Amelifard, M. Pedram University of Southern California Department of Electrical Engineering

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Capturing Post-Silicon Variations using a Representative Critical Path

Capturing Post-Silicon Variations using a Representative Critical Path 1 Capturing Post-Silicon Variations using a Representative Critical Path Qunzeng Liu and Sachin S. Sapatnekar Abstract In nanoscale technologies that experience large levels of process variation, post-silicon

More information

Static Timing Analysis Considering Power Supply Variations

Static Timing Analysis Considering Power Supply Variations Static Timing Analysis Considering Power Supply Variations Sanay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract Power supply integrity verification has become a key concern in high performance

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits

EE241 - Spring 2006 Advanced Digital Integrated Circuits EE241 - Spring 2006 Advanced Digital Integrated Circuits Lecture 20: Asynchronous & Synchronization Self-timed and Asynchronous Design Functions of clock in synchronous design 1) Acts as completion signal

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Statistical Timing Analysis Considering Spatial Correlations

Statistical Timing Analysis Considering Spatial Correlations Statistical Timing Analysis Considering Spatial Correlations Hong Li Cheng-Kok Koh Venkataramanan Balakrishnan and *Yiran Chen School of Electrical and Computer Engineering Purdue University West Lafayette

More information

Computing Clock Skew Schedules Under Normal Process Variation

Computing Clock Skew Schedules Under Normal Process Variation Computing Clock Skew Schedules Under Normal Process Variation Aaron P. Hurst and Robert K. Brayton Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley, CA

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 25: Synchronization Timing Announcements Homework 5 due on 4/26 Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Project

More information

Computer-Aided Design for Manufacturability

Computer-Aided Design for Manufacturability Computer-Aided Design for Manufacturability Michael Orshansky UC Berkeley From DSM to DFM A new layer of difficulties on top of the complex physics of DSM Advanced manufacturing introduces new challenges

More information

Post-Silicon Timing Characterization by Compressed Sensing

Post-Silicon Timing Characterization by Compressed Sensing Post-Silicon Timing Characterization by Compressed Sensing ABSTRACT We address post-silicon timing characterization of the unique gate delays and their distributions on each manufactured IC. Our proposed

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i- EECS40 Spring 010 Lecture 1: Matching Elad Alon Dept. of EECS Offset V i+ V i- To achieve zero offset, comparator devices must be perfectly matched to each other How well-matched can the devices be made?

More information

Constrained Clock Shifting for Field Programmable Gate Arrays

Constrained Clock Shifting for Field Programmable Gate Arrays Constrained Clock Shifting for Field Programmable Gate Arrays Deshanand P. Singh Dept. of Electrical and Computer Engineering University of Toronto Toronto, Canada singhd@eecg.toronto.edu Stephen D. Brown

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information