Dept. Information Systems Engineering, Osaka Univ., Japan

Size: px
Start display at page:

Download "Dept. Information Systems Engineering, Osaka Univ., Japan"

Transcription

1 Statistical Timing Analysis Considering Spatially and Temporally Correlated Dynamic Power Supply Noise Takashi Enami Shinyu Ninomiya Masanori Hashimoto Dept. Information Systems Engineering, Osaka Univ., Japan {enami.takashi, ninomiya.shinyu, i hasimoto}@ist.osaka-u.ac.jp t

2 Agenda Background and objective Statistical modeling of power supply noise SSTA with statistical model of power supply noise Experimental results Conclusion 2008/04/16 ISPD

3 Background Voltage drop at power wire. Power supply noise is becoming more influential on timing due to increasing current consumption, decreasing power supply voltage. Demand for timing analysis considering power supply noise. 2008/04/16 ISPD

4 Objective Conventional analysis method Dynamic analysis Analysis with test patterns. => Combination space of test patterns is tremendous. Static analysis Analysis with constant voltage drop. => Irrelevant voltage drop leads to optimistic or excessively pessimistic estimation. Exact worst-case delay cannot be obtained practically. Propose a statistical timing analysis method that gives reasonable worst-case timing. 2008/04/16 ISPD

5 Difficulties of noise aware timing analysis Cell position (spatial) Switching timing (temporal) affect gate delay. B A Voltage A temporal spatial difference A: t 1 : delay(t delay(a) 1 ) > delay(t 2 ) B: delay(b) t 2 : delay(t delay(a) 1 ) < delay(t 2 ) B delay(b) t 1 t 2 Time Position of critical paths and spatial and temporal variation must be considered simultaneously. 2008/04/16 ISPD

6 Proposed approach (Overview) Voltage Time Power supply noise including spatial and temporal variation of power supply noise Statistical model Circuit structure SSTA Probab bility Estimate the worst-case delay. Delay Delay distribution 2008/04/16 ISPD

7 Agenda Background and objective Statistical modeling of power supply noise SSTA with statistical model of power supply noise Experimental results Conclusion 2008/04/16 ISPD

8 Modeling flow Power supply noise Spatial and temporal division. Power variables 1. Gaussianization: if necessary improve Gaussianity of the variables. Statistical model 2. Orthogonalization: transform the correlated variables into the uncorrelated variables. 2008/04/16 ISPD

9 Spatial and temporal division remove spatial and temporal continuity for variable assignment 1 Cycle oltage Vo V x,y,3 V x,y,1 V x,y,2 V x,y,3 V x,y,1 Time ex) divide a clock cycle into 3 time spans. spatial division temporal division choose a representative value use average voltage in each span. for each partition. 2008/04/16 ISPD

10 Assigning variables and obtaining distribution 1 Cycle V x,y,1 ty Voltage different clock cycle => different sample Probabilit Voltage V xy3 x,y,3 V xy1 x,y,1 V xy2 x,y,2 V xy3 x,y,3 V xy1 x,y,1 Time Data set of power variables calculate average, standard deviation and correlation coefficient can model spatial and temporal variation. Probability Probability V x,y,2 Voltage y Vx,y,3 Voltage 2008/04/16 ISPD

11 Correlation of power variables ltage Vo oltage Vo Time Time spatial correlation Volt tage 1 cycle Time temporal correlation Voltage drop tends to be similar. Voltage drop lasts awhile. spatial correlation temporal correlation Correlation of power variables is strong. 2008/04/16 ISPD

12 Correlation s effect on delay Correlation between variables affects delay distribution. ex) SUM operation V 1 V 2 Pro obability V 1,V 2 : no correlation V 1,V 2 : positive correlation Delay Delay calculation considering correlation is inevitable. i Computationally expensive. 2008/04/16 ISPD

13 Orthogonalization Orthogonalization by principal component analysis (PCA) Delay calculation considering correlation is facilitated [1]. Compact statistical model is derived when strong correlation exists. Compatibility with SSTA developed for manufacturing variability [1]. z i : original variable compac t μ i σ i λ j e ij pc j : average of z i : standard deviation of z i : jth largest eigenvalue : jth eigenvector corresponding to z i : jth principal cpa component (PC) [1] H. Chang, et. al., Statistical Timing Analysis Under Spatial Correlations, IEEE TCAD, Vol. 24, No. 9, Sep k, k : number of PCs 2008/04/16 ISPD

14 Gaussianization PCA assumes Gaussian distribution. Some variables might be different from Gaussian. improve Gaussianity of the variables before PCA. Box-Cox transformation Λ: Every variable has the optimum value individually. id original distribution transformed distribution Box-Cox transformation 2008/04/16 ISPD

15 Agenda Background and objective Statistical modeling of power supply noise SSTA with statistical model of power supply noise Experimental results Conclusion 2008/04/16 ISPD

16 Gate delay calculation canonical gate delay model considering i power supply noise. receiver side driver side σ V** : standard deviation of V** : sensitivity of V** to d* e (V**),j : jth eigenvector corresponding to V** How are these parameters(μ r, A r,j ) decided? 2008/04/16 ISPD

17 Parameter calculation Parameters of canonical delay model must consider not only cell position but also switching timing, Parameters are set based on arrival time. If switching timing crosses over the boundary of two time spans. => calculate weighted-average g of two spans. μ r,a r,j arrival time 1 cycle Time 2008/04/16 ISPD

18 Agenda Background and objective Statistical modeling of power supply noise SSTA with statistical model of power supply noise Experimental results Accuracy of proposed SSTA SSTA with reduced model SSTA considering i power supply noise and manufacturing variability Conclusion 2008/04/16 ISPD

19 Experimental conditions noise generators, two circuits, FPU[2] (90nm process, 39k gates), tiny64 processor[2] (90nm process, 20k gates), size: 1mm x 1mm, input vector: random, 2000 clock cycles, circuits for timing analysis, ISCAS85 (5 circuits), 64-bit multiplier, ALU, H-tree (evaluation of clock jitter), FPU の電源網 [2] OPENCORES.ORG, /04/16 ISPD

20 Procedure of accuracy evaluation compare proposed SSTA and Monte Carlo STA (MC) using the same noise information given to PCA. power supply noise modeling MC delay distribution (MC) comparison statistical model proposed SSTA SSTA includes errors that originate from discretization, PCA for incomplete Gaussian distribution, SSTA operation. delay distribution (proposed p SSTA) 2008/04/16 ISPD

21 Accuracy of proposed SSTA SSTA MC circuit # cells MC avg (%) sd (%) c c c c c multiplier ALU H-tree average Proposed SSTA estimates the delay accurately. Estimation errors without Box-Cox transformation (avg: 0.465%, sd: 14.4%), 4%) => non-gaussianity was not significant but Box-Cox transformation improved results slightly. noise generator: FPU spatial division number: 10x10 temporal division number: /04/16 ISPD

22 Evaluation of variable reduction Evaluate accuracy and CPU time with the reduced d number of principal i components (PCs). noise generator: tiny64 circuit: multiplier spatial division number: 10x10 temporal division number: 10 => total 2000 variables (power + Opteron 2.4GHz #PCs reduction # PCs avg g(p (ps) sd (ps) CPU time reduction rate (ms) of CPU time (%) reduce CPU time largely with little loss of accuracy. 2008/04/16 ISPD

23 SSTA result both for power supply noise and manufacturing variability Proposed SSTA has a compatibility with SSTA developed for manufacturing variability. => can perform SSTA considering manufacturing and supply voltage fluctuation simultaneously. noise generator: FPU circuit: multiplier spatial division number: 10x10 temporal division number: 10 Vth variation: σ = 25mv SSTA considering both variabilities has a possibility to reduce timing margin. 2008/04/16 ISPD

24 Conclusion Proposed SSTA can consider dynamic power supply noise with PCA. Errors of proposed SSTA average: 0.456%, standard deviation: 12.7%. SSTA with the partial model reduced d CPU time more than 98% almost without loss of accuracy. Proposed SSTA can be performed considering manufacturing and supply voltage fluctuation simultaneously. 2008/04/16 ISPD

25 2008/04/16 ISPD

26 Calculation with switching transition calculate weighted-average of the parameters corresponding to input and output t transition timing. i 1. estimate t O with the use of μ r m. μ r,a r,j Span #(m) Span #(m+1) 2. calculate weighted-average based on Δt I and Δt O. average coefficient Δt I Δt O Time t I t O switching term (μ r m ) Circuit delay is calculated according to conventional SSTA. 2008/04/16 ISPD

27 Clock Skew Analysis Easily perform by application of clock jitter analysis. k ' + jitter = μ a 1 1 j=1 1, j pc j jitter 2 = μ 2 + k ' j= 1 a pc 2, j j skew 1,2 ( k ' μ μ ) + ( a a ) pc = 1 2 j=1 2008/04/16 ISPD , j 2, j j

28 Adaptive spatial discretization Power supply noise has heavily fluctuating area. => fine division calm area. => coarse division Spatial distribution of Adaptive discretization of FPU noise (average). FPU noise. 2008/04/16 ISPD

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Statistical Gate Delay Model for Multiple Input Switching. Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University

Statistical Gate Delay Model for Multiple Input Switching. Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University Statistical Gate Delay Model for Multiple Input Switching Takayuki Fukuoka, Akira Tsuchiya and Hidetoshi Onodera Kyoto University 1 Outline Motivation Effect of Multiple Input Switching on the gate delay

More information

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen ECE Department, University of Wisconsin, Madison, WI53706-1691, USA E-mail:

More information

Capturing Post-Silicon Variations using a Representative Critical Path

Capturing Post-Silicon Variations using a Representative Critical Path 1 Capturing Post-Silicon Variations using a Representative Critical Path Qunzeng Liu and Sachin S. Sapatnekar Abstract In nanoscale technologies that experience large levels of process variation, post-silicon

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Clock Skew Reduction by Self-Compensating Manufacturing Variability with On-chip Sensors

Clock Skew Reduction by Self-Compensating Manufacturing Variability with On-chip Sensors Clock Skew Reduction by Self-Compensating Manufacturing Variability with On-chip Sensors Shinya Abe Ken-ichi Shinkai Masanori Hashimoto Takao Onoye Dept. Infomation Systems Engineering, Osaka University

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Principal Components Analysis (PCA)

Principal Components Analysis (PCA) Principal Components Analysis (PCA) Principal Components Analysis (PCA) a technique for finding patterns in data of high dimension Outline:. Eigenvectors and eigenvalues. PCA: a) Getting the data b) Centering

More information

Fast Buffer Insertion Considering Process Variation

Fast Buffer Insertion Considering Process Variation Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel, Mindspeed Agenda Introduction and motivation

More information

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester,

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 7, 2002 Name: No. Points Score 1. 18 2. 22 3. 30 TOTAL / 70 In recognition of and in the spirit of the Stanford University Honor Code, I certify

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

SINCE the early 1990s, static-timing analysis (STA) has

SINCE the early 1990s, static-timing analysis (STA) has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 4, APRIL 2008 589 Keynote Paper Statistical Timing Analysis: From Basic Principles to State of the Art David

More information

A Sampling Switch Design for Liquid Crystal Displays

A Sampling Switch Design for Liquid Crystal Displays > TENCON 2005, Paper Number 1568964868< 1 A Sampling Switch Design for Liquid Crystal Displays Shingo Takahashi, Nonmember, IEEE, Shuji Tsukiyama, Masanori Hashimoto, Member, IEEE and Isao Shirakawa, Fellow,

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

SEMICONDUCTOR reliability and manufacturing variability

SEMICONDUCTOR reliability and manufacturing variability IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 9, SEPTEMBER 2011 1321 Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis

More information

VLSI Arithmetic Lecture 10: Multipliers

VLSI Arithmetic Lecture 10: Multipliers VLSI Arithmetic Lecture 10: Multipliers Prof. Vojin G. Oklobdzija University of California http://www.ece.ucdavis.edu/acsel A Method for Generation of Fast Parallel Multipliers by Vojin G. Oklobdzija David

More information

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical & Electronic

More information

Clock Buffer Polarity Assignment Utilizing Useful Clock Skews for Power Noise Reduction

Clock Buffer Polarity Assignment Utilizing Useful Clock Skews for Power Noise Reduction Clock Buffer Polarity Assignment Utilizing Useful Clock Skews for Power Noise Reduction Deokjin Joo and Taewhan Kim Department of Electrical and Computer Engineering, Seoul National University, Seoul,

More information

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS by Ravikishore Gandikota A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

Pre and post-silicon techniques to deal with large-scale process variations

Pre and post-silicon techniques to deal with large-scale process variations Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University Outline Introduction to Variability Pre-silicon

More information

Covariance to PCA. CS 510 Lecture #8 February 17, 2014

Covariance to PCA. CS 510 Lecture #8 February 17, 2014 Covariance to PCA CS 510 Lecture 8 February 17, 2014 Status Update Programming Assignment 2 is due March 7 th Expect questions about your progress at the start of class I still owe you Assignment 1 back

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

Statistical Analysis Techniques for Logic and Memory Circuits

Statistical Analysis Techniques for Logic and Memory Circuits Statistical Analysis Techniques for Logic and Memory Circuits A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Qunzeng Liu IN PARTIAL FULFILLMENT OF THE

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Chapter 1. Binary Systems 1-1. Outline. ! Introductions. ! Number Base Conversions. ! Binary Arithmetic. ! Binary Codes. ! Binary Elements 1-2

Chapter 1. Binary Systems 1-1. Outline. ! Introductions. ! Number Base Conversions. ! Binary Arithmetic. ! Binary Codes. ! Binary Elements 1-2 Chapter 1 Binary Systems 1-1 Outline! Introductions! Number Base Conversions! Binary Arithmetic! Binary Codes! Binary Elements 1-2 3C Integration 傳輸與介面 IA Connecting 聲音與影像 Consumer Screen Phone Set Top

More information

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping

Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping Behavioural Modelling for Stability of CMOS SRAM Cells Subject to Random Discrete Doping Yangang Wang Mark Zwolinski Michael A Merrett E-mail: yw2@ecs.soton.ac.uk University of Southampton, UK 26 th Sep.

More information

EECS150 - Digital Design Lecture 24 - Arithmetic Blocks, Part 2 + Shifters

EECS150 - Digital Design Lecture 24 - Arithmetic Blocks, Part 2 + Shifters EECS150 - Digital Design Lecture 24 - Arithmetic Blocks, Part 2 + Shifters April 15, 2010 John Wawrzynek 1 Multiplication a 3 a 2 a 1 a 0 Multiplicand b 3 b 2 b 1 b 0 Multiplier X a 3 b 0 a 2 b 0 a 1 b

More information

EECS 151/251A Homework 5

EECS 151/251A Homework 5 EECS 151/251A Homework 5 Due Monday, March 5 th, 2018 Problem 1: Timing The data-path shown below is used in a simple processor. clk rd1 rd2 0 wr regfile 1 0 ALU REG 1 The elements used in the design have

More information

A Simple Architectural Enhancement for Fast and Flexible Elliptic Curve Cryptography over Binary Finite Fields GF(2 m )

A Simple Architectural Enhancement for Fast and Flexible Elliptic Curve Cryptography over Binary Finite Fields GF(2 m ) A Simple Architectural Enhancement for Fast and Flexible Elliptic Curve Cryptography over Binary Finite Fields GF(2 m ) Stefan Tillich, Johann Großschädl Institute for Applied Information Processing and

More information

Binary addition example worked out

Binary addition example worked out Binary addition example worked out Some terms are given here Exercise: what are these numbers equivalent to in decimal? The initial carry in is implicitly 0 1 1 1 0 (Carries) 1 0 1 1 (Augend) + 1 1 1 0

More information

Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction

Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction Qunzeng Liu University of Minnesota liuxx575@umn.edu Sachin S. Sapatnekar University of Minnesota sachin@umn.edu ABSTRACT Several

More information

A Scalable Statistical Static Timing Analyzer Incorporating Correlated Non-Gaussian and Gaussian Parameter Variations

A Scalable Statistical Static Timing Analyzer Incorporating Correlated Non-Gaussian and Gaussian Parameter Variations A Scalable Statistical Static Timing Analyzer Incorporating Correlated Non- and Parameter Variations Jaskirat Singh Sachin S. Sapatnekar Department of Electrical & Computer Engineering University of Minnesota

More information

Incremental Latin Hypercube Sampling

Incremental Latin Hypercube Sampling Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits Yen-Lung Chen +, Wei Wu *, Chien-Nan Jimmy Liu + and Lei He * EE Dept., National Central University,

More information

14 Gb/s AC Coupled Receiver in 90 nm CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

14 Gb/s AC Coupled Receiver in 90 nm CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 14 Gb/s AC Coupled Receiver in 90 nm CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca OUTLINE Chip-to-Chip link overview AC interconnects Link modelling ISI & sensitivity

More information

ACCUMULATED JITTER MEASUREMENT OF STANDARD CLOCK OSCILLATORS

ACCUMULATED JITTER MEASUREMENT OF STANDARD CLOCK OSCILLATORS METROLOGY AND MEASUREMENT SYSTEMS Index 330930, ISSN 0860-89 www.metrology.pg.gda.pl ACCUMULATED JITTER MEASUREMENT OF STANDARD CLOCK OSCILLATORS Marek Zieliński, Marcin Kowalski, Robert Frankowski, Dariusz

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EE241 - Spring 2003 Advanced Digital Integrated Circuits EE241 - Spring 2003 Advanced Digital Integrated Circuits Lecture 16 Energy-Recovery Circuits SOI Technology and Circuits Optimal EDP Contours 1 Leakage and Switching ELk 2 = ESw Opt L ln d K tech α avg

More information

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model

Luis Manuel Santana Gallego 100 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model Luis Manuel Santana Gallego 100 Appendix 3 Clock Skew Model Xiaohong Jiang and Susumu Horiguchi [JIA-01] 1. Introduction The evolution of VLSI chips toward larger die sizes and faster clock speeds makes

More information

Static Timing Analysis Considering Power Supply Variations

Static Timing Analysis Considering Power Supply Variations Static Timing Analysis Considering Power Supply Variations Sanay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract Power supply integrity verification has become a key concern in high performance

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

DOA Estimation using MUSIC and Root MUSIC Methods

DOA Estimation using MUSIC and Root MUSIC Methods DOA Estimation using MUSIC and Root MUSIC Methods EE602 Statistical signal Processing 4/13/2009 Presented By: Chhavipreet Singh(Y515) Siddharth Sahoo(Y5827447) 2 Table of Contents 1 Introduction... 3 2

More information

Itanium TM Processor Clock Design

Itanium TM Processor Clock Design Itanium TM Processor Design Utpal Desai 1, Simon Tam, Robert Kim, Ji Zhang, Stefan Rusu Intel Corporation, M/S SC12-502, 2200 Mission College Blvd, Santa Clara, CA 95052 ABSTRACT The Itanium processor

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Jing-Jia Liou Angela Krstić Kwang-Ting Cheng Deb Aditya Mukherjee Sandip Kundu ECE Department, University

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

Hw 6 due Thursday, Nov 3, 5pm No lab this week

Hw 6 due Thursday, Nov 3, 5pm No lab this week EE141 Fall 2005 Lecture 18 dders nnouncements Hw 6 due Thursday, Nov 3, 5pm No lab this week Midterm 2 Review: Tue Nov 8, North Gate Hall, Room 105, 6:30-8:30pm Exam: Thu Nov 10, Morgan, Room 101, 6:30-8:00pm

More information

Construction of a reconfigurable dynamic logic cell

Construction of a reconfigurable dynamic logic cell PRAMANA c Indian Academy of Sciences Vol. 64, No. 3 journal of March 2005 physics pp. 433 441 Construction of a reconfigurable dynamic logic cell K MURALI 1, SUDESHNA SINHA 2 and WILLIAM L DITTO 3 1 Department

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Minimizing Clock Latency Range in Robust Clock Tree Synthesis

Minimizing Clock Latency Range in Robust Clock Tree Synthesis Minimizing Clock Latency Range in Robust Clock Tree Synthesis Wen-Hao Liu Yih-Lang Li Hui-Chi Chen You have to enlarge your font. Many pages are hard to view. I think the position of Page topic is too

More information

Utilizing Redundancy for Timing Critical Interconnect

Utilizing Redundancy for Timing Critical Interconnect 1 Utilizing Redundancy for Timing Critical Interconnect Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li Abstract Conventionally, the topology of signal net routing is almost always restricted to Steiner trees,

More information

CS 140 Lecture 14 Standard Combinational Modules

CS 140 Lecture 14 Standard Combinational Modules CS 14 Lecture 14 Standard Combinational Modules Professor CK Cheng CSE Dept. UC San Diego Some slides from Harris and Harris 1 Part III. Standard Modules A. Interconnect B. Operators. Adders Multiplier

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

Computing Clock Skew Schedules Under Normal Process Variation

Computing Clock Skew Schedules Under Normal Process Variation Computing Clock Skew Schedules Under Normal Process Variation Aaron P. Hurst and Robert K. Brayton Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley, CA

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Analysis and Design of Digital Integrated Circuits (6.374) - Fall 2003 Quiz #2 Prof. Anantha Chandrakasan

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources

Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources Lerong Cheng 1, Jinjun Xiong 2, and Prof. Lei He 1 1 EE Department, UCLA *2 IBM Research Center Address comments to lhe@ee.ucla.edu

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits

EE241 - Spring 2006 Advanced Digital Integrated Circuits EE241 - Spring 2006 Advanced Digital Integrated Circuits Lecture 20: Asynchronous & Synchronization Self-timed and Asynchronous Design Functions of clock in synchronous design 1) Acts as completion signal

More information

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER Jesus Garcia and Michael J. Schulte Lehigh University Department of Computer Science and Engineering Bethlehem, PA 15 ABSTRACT Galois field arithmetic

More information

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators Sandeep D souza and Ragunathan (Raj) Rajkumar Carnegie Mellon University High (Energy) Cost of Accelerators Modern-day

More information

AFrameworkforScalablePost-SiliconStatistical Delay Prediction under Spatial Variations

AFrameworkforScalablePost-SiliconStatistical Delay Prediction under Spatial Variations 1 AFrameworkforScalablePost-SiliconStatistical Delay Prediction under Spatial Variations Qunzeng Liu and Sachin S. Sapatnekar, Abstract Due to increased variability trends in nanoscale integrated circuits,

More information

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits

Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits UNLV Theses, Dissertations, Professional Papers, and Capstones 12-1-2013 Max Operation in Statistical Static Timing Analysis on the Non-~Gaussian Variation Sources for VLSI Circuits Abu M. Baker University

More information

D esign of Random W a lk er for Monte-Carlo M ethod P a rt I I {Electronic Device)

D esign of Random W a lk er for Monte-Carlo M ethod P a rt I I {Electronic Device) Journal o f the In stitu te o f Polytech n ics, Osaka City U niversity, V ol. 11, No. I, S eries A D esign of Random W a lk er for Monte-Carlo M ethod P a rt I I {Electronic Device) Heihachiro H ir a i

More information

Dynamic operation 20

Dynamic operation 20 Dynamic operation 20 A simple model for the propagation delay Symmetric inverter (rise and fall delays are identical) otal capacitance is linear t p Minimum length devices R W C L t = 0.69R C = p W L 0.69

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

Chapter 2 Random Process Variation in Deep-Submicron CMOS

Chapter 2 Random Process Variation in Deep-Submicron CMOS Chapter 2 Random Process Variation in Deep-Submicron CMOS One of the most notable features of nanometer scale CMOS technology is the increasing magnitude of variability of the key parameters affecting

More information

Modern Navigation. Thomas Herring

Modern Navigation. Thomas Herring 12.215 Modern Navigation Thomas Herring Estimation methods Review of last class Restrict to basically linear estimation problems (also non-linear problems that are nearly linear) Restrict to parametric,

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, John Lillis 2, Chung-Kuan Cheng Department of Computer Science and Engineering University of

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #9 EECS141 PROBLEM 1: TIMING Consider the simple state machine shown

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VII Timing Issues in Digital Circuits (chapter 10 in textbook) GMU, ECE 680 Physical VLSI Design 1 Synchronous Timing (Fig. 10 1) CLK In R Combinational 1 R Logic 2

More information

Statistical Clock Skew Modeling With Data Delay Variations

Statistical Clock Skew Modeling With Data Delay Variations 888 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 6, DECEMBER 2001 Statistical Clock Skew Modeling With Data Delay Variations David Harris and Sam Naffziger Abstract Accurate

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

Reluctance/Inductance Matrix under

Reluctance/Inductance Matrix under Generating Stable and Sparse Reluctance/Inductance Matrix under Insufficient Conditions Y. Tanji, Kagawa University, Japan T. Watanabe, The University it of Shizuoka, Japan H. Asai, Shizuoka University,

More information

Covariance to PCA. CS 510 Lecture #14 February 23, 2018

Covariance to PCA. CS 510 Lecture #14 February 23, 2018 Covariance to PCA CS 510 Lecture 14 February 23, 2018 Overview: Goal Assume you have a gallery (database) of images, and a probe (test) image. The goal is to find the database image that is most similar

More information

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control

Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control [MWSCAS2007] Aug. 7, 2007 Improved Write Margin for 90nm SOI-7T-SRAM by Look-Ahead Dynamic Threshold Voltage Control Masaaki Iijima, Kayoko Seto, Masahiro Numa, *Akira Tada, *Takashi Ipposhi Kobe University,

More information

STATISTICAL TIMING ANALYSIS FOR DIGITAL CIRCUIT DESIGN. Lizheng Zhang

STATISTICAL TIMING ANALYSIS FOR DIGITAL CIRCUIT DESIGN. Lizheng Zhang STATISTICAL TIMING ANALYSIS FOR DIGITAL CIRCUIT DESIGN by Lizheng Zhang A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register Description: The NTE74HC165 is an 8 bit parallel in/serial out shift register in a 16 Lead DIP type package

More information

Statistical Clock Skew Modeling with Data Delay Variations

Statistical Clock Skew Modeling with Data Delay Variations Statistical Clock Skew Modeling with Data Delay Variations Abstract David Harris 1 and Sam Naffziger 2 David_Harris@hmc.edu, sdn@fc.hp.com Accurate clock skew budgets are important for microprocessor designers

More information

A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis

A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis A Framework for Layout-Level Logic Restructuring Hosung Leo Kim John Lillis Motivation: Logical-to-Physical Disconnect Logic-level Optimization disconnect Physical-level Optimization fixed netlist Limited

More information

Basics of Multivariate Modelling and Data Analysis

Basics of Multivariate Modelling and Data Analysis Basics of Multivariate Modelling and Data Analysis Kurt-Erik Häggblom 6. Principal component analysis (PCA) 6.1 Overview 6.2 Essentials of PCA 6.3 Numerical calculation of PCs 6.4 Effects of data preprocessing

More information

An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits

An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits Jun Shiomi 1, Tohru Ishihara 1, Hidetoshi Onodera 1, Akihiko Shinya 2, Masaya Notomi 2 1 Graduate

More information

Delay Variation Tolerance for Domino Circuits

Delay Variation Tolerance for Domino Circuits Delay Variation Tolerance for Domino Circuits Student: Kai-Chiang Wu Advisor: Shih-Chieh Chang Department of Computer Science National Tsing Hua University Hsinchu, Taiwan 300, R.O.C. June, 2004 Abstract

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

Linear Algebra review Powers of a diagonalizable matrix Spectral decomposition

Linear Algebra review Powers of a diagonalizable matrix Spectral decomposition Linear Algebra review Powers of a diagonalizable matrix Spectral decomposition Prof. Tesler Math 283 Fall 2018 Also see the separate version of this with Matlab and R commands. Prof. Tesler Diagonalizing

More information

Next, we check the race condition to see if the circuit will work properly. Note that the minimum logic delay is a single sum.

Next, we check the race condition to see if the circuit will work properly. Note that the minimum logic delay is a single sum. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on May 1, 2003 by Dejan Markovic (dejan@eecs.berkeley.edu) Prof. Jan Rabaey EECS

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information