Delay Variation Tolerance for Domino Circuits

Size: px
Start display at page:

Download "Delay Variation Tolerance for Domino Circuits"

Transcription

1 Delay Variation Tolerance for Domino Circuits Student: Kai-Chiang Wu Advisor: Shih-Chieh Chang Department of Computer Science National Tsing Hua University Hsinchu, Taiwan 300, R.O.C. June, 2004

2 Abstract Factors of delay variation such as process variation and noise effects may cause a manufactured chip to violate the pre-specified timing constraint. Traditional methods add a pessimistic timing margin to alleviate delay variation problems. In this thesis, we propose a re-synthesis technique to tolerate delay variation for domino circuits. Note that slacks of nodes along critical paths is zero; any delay addition to those zero-slack nodes will worsen the final performance of a circuit. Our basic idea is to increase slacks of nodes in the critical region by appending a redundant auxiliary sub-circuit to the original circuit. The auxiliary sub-circuit can cause critical paths to become false paths or imperceptible paths [8] so as to improve the capability of delay variation tolerance. Experimental results are very encouraging. 2

3 Contents ABSTRACT...2 CONTENTS...3 LIST OF FIGURES...4 LIST OF TABLES...5 CHAPTER 1 INTRODUCTION...6 CHAPTER 2 DELAY VARIATION TOLERANCE IN DUPLEX DOMINO SYSTEMS PROPERTIES OF DUPLEX DOMINO CIRCUITS PROBLEM FORMULATION...10 CHAPTER 3 RE-SYNTHESIS FOR DELAY VARIATION TOLERANCE OUR RE-SYNTHESIS FRAMEWORK AREA REDUCTION BY WIRE REMOVAL AREA REDUCTION BY SIGNAL SHARING SLACK ANALYSIS AFTER RE-SYNTHESIS...20 CHAPTER 4 DELAY TOLERANCE ON INTERNAL SIGNALS...23 CHAPTER 5 EXPERIMENTAL RESULTS...27 CHAPTER 6 CONCLUSIONS...35 REFERENCES

4 List of Figures Figure 1: An example of delay variation tolerance in a domino circuit... 7 Figure 2: A duplex system... 9 Figure 3: An Example of d t delay tolerance Figure 4: The original circuit Figure 5: The new circuit after removing d t -side input wire w 1 in C Figure 6: Proof of Theorem Figure 7: The re-synthesized circuit after wire removal stage Figure 8: The re-synthesized circuit after signal sharing stage Figure 9: The slack of each node in the re-synthesized circuit Figure 10: The example circuit of delay tolerance on internal signals Figure 11: The re-synthesized circuit with delay tolerance on primary output F 1 in Figure Figure 12: The re-synthesized circuit with delay tolerance on internal signal w f in Figure Figure 13: The re-synthesized circuit with delay tolerance on primary output F 1 in Figure Figure 14: The pseudo code of our re-synthesis framework Figure 15: The distribution curves of Monte-Carlo samples

5 List of Tables Table 1: Comparison between the original circuit and its corresponding re-synthesized circuits...29 Table 2: Statistical results of Monte-Carlo experiments

6 Chapter 1 Introduction Domino circuits offer both smaller area and higher speed than static complementary gates; therefore, they are extensively used in today s high-performance designs. On the contrary, circuit delay in advanced technologies is difficult to estimate because of process variation and noise effects such as crosstalk and voltage drop [1][2]. These factors could make the performance of a design irregularly fluctuate with a small amount of delay variation, and cause a manufactured chip to violate the pre-specified timing constraint. As a result, delay variation tolerance in domino circuits is becoming important and necessary. Traditionally, to alleviate the effect of delay variation and increase reliability, designers often have to employ pessimistic timing margins. However, adding timing margin may unnecessarily slow down the design [5][7]. Statistics [4] shows that a fabricated ASIC may run up to 40% faster than predicted by the standard (worst-case) timing analysis. Unlike static circuits, dynamic domino circuits operate in two phases: the pre-charge phase and the evaluation phase. For avoiding racing problems, domino circuits require all signals except primary inputs to have only rising transitions during the evaluation phase. Due to the rising-transition-only property, 6

7 delay variation tolerance in domino circuits is much easier to accomplish than that in static circuits. a b c d e a b d C 1 C 2 F 1 F 2 F 1 Figure 1: An example of delay variation tolerance in a domino circuit Our basic idea of delay variation tolerance is to combine a (target) domino circuit with a redundant auxiliary sub-circuit, which is illustrated in the following example. A domino circuit C 1 in Figure 1 implements logic function F 1 = (a+b+c)d+e = ad+bd+cd+e. For delay tolerance on F 1, we construct an auxiliary circuit C 2 implementing F 2 = (a+b)d = ad+bd and generate a new output F 1 = F 1 +F 2. Because the on-set of F 1 apparently covers that of F 2 (i.e., F 1 F 2 ), the new function F 1 = F 1 +F 2 is identical to the original function F 1. We will show that appending circuit C 2 does not change the functionality of the original circuit but have the effect of delay tolerance. Consider an input pattern (a, b, c, d, e) = (1, 0, 0, 1, 0) which induces transitions along critical (highlighted) paths in both C 1 and C 2. Since both F 1 and F 2 have only rising transitions and feed into an OR gate, whichever rising signal arriving earlier will dominate the OR gate s output. In other words, the earlier arrival of either F 1 or F 2 determines the output value instead of the later one. Delay variation tolerance is consequently achieved 7

8 because late arrival signals will not influence the resultant circuit delay. Slacks of nodes along critical paths are zero; any delay addition to those zero-slack nodes will worsen the final performance of a circuit. The degree of delay variation tolerance is formulated by the concept of slacks [3]. In this thesis, we discuss how to achieve certain degree of variation tolerance by incorporating the auxiliary circuit C 2. The key contribution of this thesis is to derive innovative theorems which allow us to carry out a small-size C 2 while maintaining the capacity of delay variation tolerance. We have performed Monte-Carlo experiments. The results show that about 51% of samples of an original circuit can meet certain delay requirement; however, about 73% of samples of the re-synthesized circuit can satisfy the same requirement. The rest of this thesis is organized as follows. Section 2 describes delay variation tolerance in duplex systems. Our re-synthesis technique for delay variation tolerance is proposed in Section 3. Section 4 demonstrates the advantages of delay tolerance on internal signals. Finally, we present our experimental results and conclusions. 8

9 Chapter 2 Delay Variation Tolerance in Duplex Domino Systems 2.1 Properties of Duplex Domino Circuits Original circuit C 1 F 1 F 1 Duplicate block C 2 F 2 Figure 2: A duplex system Let a domino circuit C 1 be under consideration for delay variation tolerance. A duplex system is shown in Figure 2, which consists of the original circuit C 1, its duplication C 2, and an OR gate taking the outputs of C 1 and C 2 as its inputs. There are two properties for a duplex domino system. First, it performs the same function as the original circuit. Secondly, a transition travels along a path in C 1 also travels along the mirror path in C 2. Since domino circuits have only 0-to-1 transitions, the OR gate s output transits from 0 to 1 when the earlier transition arrives. A duplex domino system has the capacity of delay variation tolerance because any delay variation postponing either C 1 or C 2 will not affect the 9

10 eventual timing result. Still, there is more than 100% area overhead for a duplex system, making the duplex system impractical. In the remainder of this thesis, we will explain how to reduce C 2 while maintaining the given degree of variation tolerance. 2.2 Problem Formulation Let us first define the degree of delay variation tolerance. The degree of delay variation tolerance can be quantized with the smallest slack of gates/wires in a circuit. A circuit is defined to have d t delay tolerance if the delay of each gate/wire can increase d t without affecting the delay of the circuit; that is, the slack of each gate/wire is at least d t. For example in Figure 3 where the delay of each gate is 1, the number on each gate represents the slack of the gate. The circuit has 0 delay tolerance since the smallest slack in the circuit is 0. The slack of each gate/wire in a duplex domino system is infinite (i.e, d t = ), which is over-protective for delay variation problems. In general, delay tolerance of 10%~20% of the original circuit delay is sufficient for our considerations of process variation and noise effects. Given a delay tolerance value d t and a circuit, our objective is to re-synthesize the circuit so that every gate/wire in the new circuit can tolerate at least delay variation d t. Based on the definition of d t delay tolerance, the smallest slack in the re-synthesized circuit is d t. 10

11 Figure 3: An Example of d t delay tolerance 11

12 Chapter 3 Re-synthesis for Delay Variation Tolerance 3.1 Our Re-synthesis Framework To accomplish a given delay tolerance value d t without adding too much area overhead, a practical scheme originated from a duplex system is proposed. Our re-synthesis steps are as follows. (1) Begin with a duplex system in Figure 2. (2) Remove and modify some wires in C 2 for area reduction while maintaining the tolerance value. We now discuss how to perform wire removal and modification in C 2. Redundant wires in a duplex system can be removed to reduce the area overhead, but slacks of some nodes change simultaneously. As a result, the main principle of this thesis is to reduce the area overhead by removing redundant wires while keeping slacks of all nodes equal to or greater than d t. 3.2 Area Reduction by Wire Removal Assume the original circuit C 1 implements logic function F 1, the redundant auxiliary circuit C 2 implements F 2, and the combinative output is F 1 = F 1 +F 2. If 12

13 the on-set of F 2 is a sub-set of that of F 1 (i.e., F 2 F 1 ), we can preserve the original functionality of F 1 (= F 1 +F 2 = F 1 ). While there are many possible Boolean functions which satisfy F 2 F 1, we only consider wire removal in C 2 to reduce the on-set of F 2. Before presenting our theorems, we describe what wires in C 2 can be removed to maintain F 2 F 1 in the following lemma. Lemma 1: All direct input wires to OR gates in C 2 are redundant and can be simultaneously removed (replaced by a non-controlling value, i.e. a logic 0). Proof: Taking only OR gates into consideration is a matter of functionality. If the on-set of the original function F 1 doesn t include that of the auxiliary function F 2, there exist at least one input vector which evaluates F 1 to be 0 and F 2 to be 1, leading to inconsistence between the original circuit and the re-synthesized one. It s not difficult to perceive that input wire removal of an OR gate decreases its on-set; contrarily, input wire removal of an AND gate increases its on-set. Therefore, direct input wires to only OR gates in C 2 are removable in our re-synthesis approach. Q.E.D. Let the delay tolerance value be d t and the timing requirement of the circuit under consideration be d r. A path is called a d t -critical path if its delay is greater than d r minus d t. In other words, a path is a d t -critical path if its delay increases more than d t, the timing requirement will be violated. We say that a node is a d t -critical node if it is along a d t -critical path. One can also find that the slack of a d t -critical node is smaller than d t. For example in Figure 4 where the delay of each gate is 1. Suppose the delay tolerance d t is 1 and the timing requirement d r is 6. In this example, the timing requirement is equal to the length of the longest path. Path {s-b-d-f-g-h-i} is a d t -critical path because its delay is 6 greater than 13

14 d r -d t (6-1=5). In fact, all highlighted paths in Figure 4 are d t -critical paths. Node g is a d t -critical node because it is along a d t -critical path. Besides, all highlighted nodes {a, b, o, d, e, f, g, h, i} are d t -critical nodes. Note that slacks of these d t -critical nodes are 0 smaller than d t = 1. A node is defined to be a d t -dominator if all d t -critical paths to a primary output pass through it. A wire n 1 -> n 2 is a d t -side input if node n 1 is not a d t -critical node but node n 2 is a d t -critical node. In the same example, nodes {g, h, i} are d t -dominators because all d t -critical paths to primary output F 1 must pass through these nodes. Wire w 1 (l -> i) is a d t -side input because node l is not a d t -critical node but node i is. Similarly, wires {w 2, w 3, w 4, w 5, w 6 } are also d t -side inputs. p q s t r j a b w 5 w 6 o d w 3 w 4 e f k g l h w 1 i F 1 m n w 2 d t -critical path: All highlighted paths d t -critical node: {a, b, o, d, e, f, g, h, i} d t -dominator: {g, h, i} d t -side input: w 1 (l -> i), w 2 (n -> h), w 3 (j -> e), w 4 (r -> f), w 5 (p -> o), w 6 (q -> d) Figure 4: The original circuit 14

15 Theorem 1 [3]: A d t -side input wire w to an OR d t -dominator in C 2 can be removed without violating the requirement of d t delay tolerance. Proof: On the basis of Lemma 1, a d t -side input wire to an OR d t -dominator in C 2 can be removed without violating the functional requirement. On the other hand, the main reason for maintaining the tolerance value d t is as follows. After a d t -side input wire to an OR d t -dominator in C 2 is replaced by a non-controlling value, a transition travels along a d t -critical path in C 1 still travels along its mirror path in C 2. In other words, when a d t -critical path in C 1 propagates a (rising) transition, its mirror path in C 2 definitely transits from 0 to 1. Hence, circuits C 1 and C 2 will have equivalent output value whenever an input pattern activates d t -critical paths in both C 1 and C 2. As long as either C 1 or C 2 propagates its output in time (earlier than d r ), the pre-specified d r and d t are met. Q.E.D. Lemma 1 states that input wires to OR gates in C 2 are redundant; Theorem 1 indicates that removal of d t -side input wires to OR d t -dominators in C 2 can preserve the requirement of d t delay tolerance. Take the circuit in Figure 4 as an example. A duplex system can be constructed by two duplicates (C 1 and C 2 ) of the original circuit. According to Theorem 1, we can remove wire w 1 in C 2 by replacing it with a logic 0 since it is a d t -side input to OR d t -dominator i. The new circuit of C 2 after wire removal is shown in Figure 5. Note that those nodes which don t have any fanout such as nodes k and l can be recursively removed. 15

16 j a o e g h F 2 b d f m n Figure 5: The new circuit after removing d t -side input wire w 1 in C 2 The area overhead can be significantly reduced by Theorem 1. Unfortunately, more and more d t -critical nodes in recent circuits because of timing and low-power optimization lead to less and less d t -dominators, diminishing the effect of Theorem 1. But we observed that d t -side input wires to some other gates besides OR d t -dominators could still be removed to further reduce the area overhead. These supplementary removable wires are explained in the following theorem. 16

17 w 1X w 1Y d 1 C 1 w 2X 0 w t t w 2Y d 2 C 2 (a) w 1X w 1Y d 1 C 1 w 2X 0 w t t w 2Y d 2 C 2 (b) Figure 6: Proof of Theorem 2 We say that a node is a transitive fanin of node n if there is a path from the node to node n. Theorem 2: If a wire w is a d t -side input to an OR gate in C 2 which is a transitive fanin of an OR d t -dominator, the wire w can be removed without violating the requirement of d t delay tolerance. Proof: On the basis of Lemma 1, a d t -side input wire to an OR gate in C 2 can be removed without violating the functional requirement. Before proving that the tolerance value d t is maintained, we illustrate what will happen if a d t -side input 17

18 wire to an OR gate in C 2 which is transitive fanin of an AND d t -dominator is removed. Assume that node d 2 in Figure 6(a) is an AND d t -dominator. After d t -side input wire w t to OR gate t in C 2 which is a transitive fanin of d 2 is removed, the on-set of wire w 2Y, a direct input of d 2, decreases. When there is an input vector evaluating w 1X to be 1 via a d t -critical path but w 1Y to be 1 via a non-d t -critical path, w 2X is also 1 while w 2Y could be sensitized to be 0 by the same input vector. This logic 0 determines the output of d 2, and masks the logic 1 which activates a d t -critical path, destroying the ability of delay variation tolerance. Nevertheless, the similar adversity doesn t take place if node d 2 is an OR d t -dominator, as shown in Figure 6(b). After d t -side input wire w t in Figure 6(b) is removed, the on-set of wire w 2Y decreases. When w 1Y is evaluated to be 1 via a non-d t -critical path, w 2Y could be sensitized to be 0. This logic 0 doesn t determine the output of d 2 if w 1X and w 2X are both 1 via d t -critical paths. Therefore, a transition travels along a d t -critical path in C 1 still travels along its mirror path in C 2 after wire removal according to Theorem 2. Q.E.D. We illustrate this theorem with the same circuit in Figure 4. First, wire w 3 (j -> e) doesn t satisfy the condition in Theorem 1 because node e is not a d t -dominator. However, wire w 3 (j -> e) satisfies the condition in Theorem 2 because node e is a transitive fanin of OR d t -dominator g. Thus, wire w 3 in C 2 can be removed. In fact, wires {w 3, w 5, w 6 } all satisfy the condition in Theorem 2 so wires {w 3, w 5, w 6 } in C 2 are removable. The resultant circuit is shown in Figure 7. 18

19 j a b o d e f k l g h i m n C 1 a 2 g 2 h 2 b 2 f 2 m 2 n 2 C 2 Figure 7: The re-synthesized circuit after wire removal stage 3.3 Area Reduction by Signal Sharing We can also adopt signal sharing [3] to further reduce the area overhead. Those signals which implement equivalent function but not belong to d t -critical paths can be shared. For example in Figure 7, the output of node n in C 1 and that of node n 2 in C 2 have the same functionality. We can share the output signals of n and n 2, as demonstrated in Figure 8. After sharing, the required time of node n doesn t change but the arrival time increases owing to the additional fanout from node n to node h 2. We need to re-compute the slack of node n. If the slack of node n is equal to or greater than d t, we can indeed perform the sharing; otherwise, the sharing is not allowed. Suppose all equivalent signals are allowable to be shared without violating the requirement of d t delay tolerance. The final circuit is shown in Figure 8. 19

20 j a b o d e f k l g h i m n C 1 a 2 g 2 h 2 b 2 f 2 C 2 Figure 8: The re-synthesized circuit after signal sharing stage Note that the delay of a re-synthesized circuit can be larger or smaller than that of the original one. The delay of a re-synthesized circuit may be larger on account of the extra delay of the decision OR gate. On the other hand, because some critical paths become false paths, the delay of a re-synthesized circuit may be smaller. 3.4 Slack Analysis after Re-synthesis An imperceptible path [8] is such a path that any change only in the length of imperceptible paths (increase or decrease) can never affect the circuit delay. For every input vector, the path cannot independently determine the arrival time at the circuit output [8]. Theorem 3: After wire removal according to Theorem 1 and Theorem 2, all d t -critical paths are either false paths or imperceptible paths [8]. 20

21 Proof: On the basis of Theorem 1 and Theorem 2, a transition travels along a d t -critical path in C 1 also travels along its mirror path in C 2 after wire removal. Hence, circuits C 1 and C 2 will have equivalent output value whenever an input pattern activates d t -critical paths in both C 1 and C 2. Since domino circuits have only rising transitions, whichever rising signal arriving earlier will dominate the decision OR gate s output. In statistical timing analysis, the delay of each gate is given by a probability density function. If the delay of a d t -critical path P 1 in C 1 is greater than that of its mirror path P 2 in C 2 under certain delay assignment, the circuit delay is determined by P 2 because P 1 has become a false path. Inversely, if the delay of P 1 is smaller than that of P 2, the delay of P 2 rather than P 1 determines the circuit delay. We can thus infer that all d t -critical paths after wire removal are either false paths or imperceptible paths. Q.E.D. In Figure 8, all highlighted paths are either false paths or imperceptible paths. We now discuss slacks of nodes after re-synthesis. Consider node e in Figure 8. The longest true path passing through node e is {j-e-g-h-i} whose path length is 5. Therefore, node e has the slack of 1 (d r -5) in the re-synthesized circuit in Figure 8 while it has slack of 0 in the original circuit in Figure 4. For another example, since all paths passing through node a are false paths, the slack of node a is infinite. After re-synthesis, all d t -critical paths, whose delays are greater than 5 (d r -d t ), become either false paths or imperceptible paths; that is, the longest true path in the re-synthesized circuit has the delay of 5 (d r -d t ). As a result, the slack of each node in the re-synthesize circuit is at least 1 (d r -(d r -d t ) = d t ), which is shown in Figure 9. 21

22 C C 2 Figure 9: The slack of each node in the re-synthesized circuit 22

23 Chapter 4 Delay Tolerance on Internal Signals The re-synthesis methodology described previously is applied to primary outputs whose arrival time is susceptible to delay variation. We can also employ identical approach to protect the arrival time of internal signals from delay variation. Delay tolerance on internal signals can have advantages of area reduction. j k l w 1 a c e g h i F 1 s t b d f w f m n Figure 10: The example circuit of delay tolerance on internal signals In Figure 10, consider the circuit which is almost the same as that in Figure 4 except node g in Figure 10 is an AND gate. Bold lines in Figure 10 represent d t -critical nodes and d t -critical paths. If the re-synthesis technique is applied to 23

24 only primary output F 1, only d t -side input wire w 1 in C 2 can be removed and the resultant circuit is shown in Figure 11. In this example, the area overhead is large because there is only one removable d t -side input wire. We will show that by employing delay tolerance on internal signal w f, the area penalty can be significantly reduced. The result after performing re-synthesis on w f is shown in the gray blocks in Figure 12. According to Theorem 3, path segment {s-b-d-f} becomes either a false path (segment) or an imperceptible path (segment). Thus, path {s, b, d, f, x, g, h, i} passing through a false path (segment) {s-b-d-f} is also a false path. Similarly, all other paths passing through {t-b-d-f}, {s 2 -b 2 -f 2 }, or {t 2 -b 2 -f 2 } are either false paths or imperceptible paths. Those false paths or imperceptible paths no longer belong to d t -critical paths. Consequently, nodes {a, o, e, g, h, i} in Figure 12 become d t -dominators. When we continue to perform delay tolerance on primary output F 1, d t -side input wires to three OR d t -dominators {o 2, e 2, i 2 } in C 2 become removable. The re-synthesized circuit is shown in Figure 13. The total area overhead of the re-synthesized circuit in Figure 13 is 7 (gates), whereas that of the re-synthesized circuit in Figure 11 without delay tolerance on internal signals is 9 (gates). The pseudo code of our re-synthesis framework is in Figure

25 j a b o d e f k l g h i m n C 1 a 2 o 2 e 2 g2 h 2 b 2 d 2 f 2 C 2 Figure 11: The re-synthesized circuit with delay tolerance on primary output F 1 in Figure 10 s t s 2 t 2 j a b o d e f b 2 f 2 m k l g h i x w f n F 1 Figure 12: The re-synthesized circuit with delay tolerance on internal signal w f in Figure 10 25

26 j k l a o e g h i b d f w f b 2 f2 m n C 1 a 2 g 2 h 2 C 2 Figure 13: The re-synthesized circuit with delay tolerance on primary output F 1 in Figure 12 Re-synthesize_for_Delay_Variation_Tolerance (circuit) { system = construct_an_duplex_system (circuit); nodes = find_d t -critical_nodes_in_c 2 (system, d t ); dominators = find_d t -dominators (system, nodes); foreach d in dominators { remove_d t -side_input_wire (d); } /* d t _fanins are nodes which satisfy the condition stated in Theorem 2. */ fanins = find_d t -fanins (system, nodes, dominators) foreach f in fanins { remove_d t -side_input_wire (f); } share_equivalent_signal (system); } Figure 14: The pseudo code of our re-synthesis framework 26

27 Chapter 5 Experimental Results We have implemented the re-synthesis algorithm in SIS environment and conducted experiments on a set of MCNC benchmarks. Table 1 provides information about the area overhead while Table 2 demonstrates the advantages of delay variation tolerance for domino circuits. We first optimized a circuit with script.delay, and then used delay tolerance values of 10%, 15%, and 20% of the original circuit delay to re-synthesize a circuit. The timing requirement for all re-synthesized circuits is set to be the delay of the original circuit. In Table 1, column one lists the name of the original circuit. Then, we show the results of the original circuit in columns two to five, the results for 10% delay tolerance in columns six to nine, the results for 15% delay tolerance in columns ten to thirteen, and the results for 20% delay tolerance in columns fourteen to seventeen. For a given circuit and its re-synthesized circuits, we provide the area, delay, and slack information. For example, circuit 9symml has the area of 1,317 and the delay of The average slack is Before re-synthesis, there is no gate with infinite slack. When the delay tolerance is 2.14 (= 10%*21.36), the re-synthesized circuit has the area of 1,460 and the delay of The average slack is 2.93 and there are 2 gates with infinite slack in the re-synthesized circuit. When the delay tolerance is 3.20/4.27, the re-synthesized circuit has the area of 27

28 1,605/1,885 and the delay of 21.29/ The average slack is 4.32/5.93 and there are 10/35 gates with infinite slack. On the average, the area overhead for 10%, 15%, and 20% delay tolerance is respectively about 12%, 19%, and 28%. We have also performed Monte-Carlo experiments to demonstrate the effect of delay variation tolerance in Table 2. In the experiment, the delay of a gate is given as a probability density function similar to [6]. We obtained 1,000 samples of an original circuit and 1,000 samples of its re-synthesized circuit with 10% delay tolerance from Monte-Carlo experiments. After that, the delay of each sample is calculated. In Table 2, column one lists the name of the original circuit. Let d r be the delay of the circuit. Column two shows the number of circuit samples whose delays are smaller than {0.8*d r } for the original circuit, and column three shows the number for its re-synthesized circuit. Similarly, columns four to eleven report the numbers of samples whose delays are smaller than {0.9*d r }, {1.0*d r }, {1.1*d r }, and {1.2*d r } for both the original circuit and its re-synthesized circuit. Take circuit 9symml as an example. Let the timing requirement be 1.1*d r (1.1*21.36 = 23.50), 138 samples of the original circuit meet the timing requirement while 249 samples of the re-synthesized circuit satisfy the same requirement. In Figure 15(a), we drew the distribution curves of all Monte-Carlo samples of circuit 9symml and its re-synthesized circuit with 10% delay tolerance. The curves reveal that the timing behavior of the re-synthesized circuit is more stable than that of the original circuit. As well, the distribution curves of circuits comp, f51m, and t481 are respectively shown in Figure 15(b), (c), and (d). 28

29 Table 1: Comparison between the original circuit and its corresponding re-synthesized circuits Circuit Area Origianl circuit Delay Avg. slack #nodes with infinite slack Area 10% delay tolerance 15% delay tolerance Delay Avg. slack #nodes with infinite slack Area Delay Avg. slack #nodes with infinite slack Area 20% delay tolerance Delay Avg. slack #nodes with infinite slack 9symml C C C C C C C C alu apex apex b c cht cm85a cm150a cm151a cm162a comp cordic dalu

30 Table 1: Comparison between the original circuit and its corresponding re-synthesized circuits (cont.) Circuit Area Origianl circuit Delay Avg. slack #nodes with infinite slack Area 10% delay tolerance 15% delay tolerance Delay Avg. slack #nodes with infinite slack Area Delay Avg. slack #nodes with infinite slack Area Delay Avg. slack #nodes with infinite slack f51m frg frg i i i i i i k lal pair rot t term ttt vda x x x x z4ml Avg % delay tolerance 30

31 Table 2: Statistical results of Monte-Carlo experiments Circuit 0.8*d r 0.9*d r 1.0*d r 1.1*d r 1.2*d r O R O R O R O R O R 9symml C C C C C C C C alu apex apex b c cht cm85a cm150a cm151a cm162a comp cordic dalu O: Original Circuit R: Re-synthesized Circuit 31

32 Table 2: Statistical results of Monte-Carlo experiments (cont.) Circuit 0.8*d r 0.9*d r 1.0*d r 1.1*d r 1.2*d r O R O R O R O R O R f51m frg frg i i i i i i k lal pair rot t term ttt vda x x x x z4ml Avg O: Original Circuit R: Re-synthesized Circuit 32

33 Original Re-synthesized Number of Samples Timing Requirement Figure 15(a): The distribution curves of Monte-Carlo samples (9symml) Original Re-synthesized Number of Samples Timing Requirement Figure 15(b): The distribution curves of Monte-Carlo samples (comp) 33

34 Original Re-synthesized Number of Samples Timing Requirement Figure 15(c): The distribution curves of Monte-Carlo samples (f51m) Original Re-synthesized Number of Samples Timing Requirement Figure 15(d): The distribution curves of Monte-Carlo samples (t481) 34

35 Chapter 6 Conclusions We have proposed a framework to re-synthesize a given domino circuit for d t delay tolerance. Our method begins with a duplex system; we then adopt wire removal and signal sharing to reduce the area overhead of the delay tolerance structure. Two novel theorems for further area reduction are presented. Experimental results demonstrate the advantages of delay variation tolerance for a re-synthesized domino circuit. 35

36 References [1] K. Baker, G. Gronthoud, M. Lousberg, I. Schanstra, and C. Hawkins, Defect-based delay testing of resistive vias-contacts, a critical evaluation, Proc. of International Test Conference, pp , Sept [2] M. A. Breuer, C. Gleason, and S. Gupta, New validation and test problems for high performance deep sub-micron VLSI circuits, Tutorial Notes, VLSI Test Symposium, April [3] Shih-Chieh Chang, Cheng-Tao Hsieh, and Kai-Chiang Wu, Re-synthesis for delay variation tolerance, Proc. of Design Automation Conference, pp , June [4] D. G. Chinnery and K. Keutzer, Closing the gap between ASIC and custom: an ASIC perspective, Proc. of Design Automation Conference, pp , June [5] Kurt Keutzer and Michael Orshansky, From blind certainty to informed uncertainty, Proc. of International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, pp , [6] Jing-Jia Liou, A. Krstic, Li-C. Wang, and Kwang-Ting Cheng, False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation, Proc. of Design Automation 36

37 Conference, pp , June [7] E. Malavasi, S. Zanella, J. Uschersohn, M. Misheloff, and C. Guardiani, Impact analysis of process variability on digital circuits with performance limited yield, Proc. of International Workshop on Statistical Methodology, pp , June [8] Alexander Saldanha, Functional timing optimization, Proc. of International Conference on Computer-Aided Design, pp , Nov

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Jing-Jia Liou Angela Krstić Kwang-Ting Cheng Deb Aditya Mukherjee Sandip Kundu ECE Department, University

More information

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen ECE Department, University of Wisconsin, Madison, WI53706-1691, USA E-mail:

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

A New Design Method for Unidirectional Circuits

A New Design Method for Unidirectional Circuits A New Design Method for Unidirectional Circuits Saposhnikov V. V., 1) Morosov A., 2) Saposhnikov Vl. V., 1) Gössel M 2) 1) University for Railway-Engineering, Moskovski prospekt 9, SU 190031, Sankt-Petersburg,

More information

Lecture 6: Time-Dependent Behaviour of Digital Circuits

Lecture 6: Time-Dependent Behaviour of Digital Circuits Lecture 6: Time-Dependent Behaviour of Digital Circuits Two rather different quasi-physical models of an inverter gate were discussed in the previous lecture. The first one was a simple delay model. This

More information

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng University of California - Santa Barbara Problem Find

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1

Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1 3A-3 Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1 Jin S. Zhang Department of ECE Portland State University Portland, OR 97201 jinsong@ece.pdx.edu Malgorzata Chrzanowska-Jeske Department

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs EECS 9B Spring 3 Timing Analysis - Delay Models Simple model : D k Ak A A A3 Timing Analysis A k = arrival time = max(a,a,a 3 ) + D k D k is the delay at node k, parameterized according to function f k

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications

A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications Shigeru Yamashita, Hiroshi Sawada and Akira Nagoya NTT Communication Science Laboratories 2-2 Hikaridai, Seika-cho,

More information

Explicit Constructions of Memoryless Crosstalk Avoidance Codes via C-transform

Explicit Constructions of Memoryless Crosstalk Avoidance Codes via C-transform Explicit Constructions of Memoryless Crosstalk Avoidance Codes via C-transform Cheng-Shang Chang, Jay Cheng, Tien-Ke Huang and Duan-Shin Lee Institute of Communications Engineering National Tsing Hua University

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Borrowing Kun Young Chung and Sandeep K. Gupta University of Southern California, EE Systems Abstract High-speed circuits use

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst,

More information

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov International Book Series "Information Science and Computing" 203 USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING Liudmila Cheremisinova, Dmitry Novikov Abstract. The problem of checking whether a

More information

Logic Synthesis for Layout Regularity using Decision Diagrams *

Logic Synthesis for Layout Regularity using Decision Diagrams * Logic Synthesis for Layout Regularity using Decision Diagrams * Malgorzata Chrzanowska-Jeske, Alan Mishchenko 2, Jinsong Zhang, and Marek Perkowski Department of ECE, Portland State University, Portland,

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 1 EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 2 Topics Clocking Clock Parameters Latch Types Requirements for reliable clocking Pipelining Optimal pipelining

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #9 EECS141 PROBLEM 1: TIMING Consider the simple state machine shown

More information

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks ABSTRACT Weicheng Liu, Emre Salman Department of Electrical and Computer Engineering Stony Brook University Stony Brook, NY 11794 [weicheng.liu,

More information

A Low-Error Statistical Fixed-Width Multiplier and Its Applications

A Low-Error Statistical Fixed-Width Multiplier and Its Applications A Low-Error Statistical Fixed-Width Multiplier and Its Applications Yuan-Ho Chen 1, Chih-Wen Lu 1, Hsin-Chen Chiang, Tsin-Yuan Chang, and Chin Hsia 3 1 Department of Engineering and System Science, National

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 54 Design for Testability So, in the last lecture we talked

More information

Statistical Analysis of Random Telegraph Noise in Digital Circuits

Statistical Analysis of Random Telegraph Noise in Digital Circuits Nano-scale Integrated Circuit and System (NICS) Laboratory Statistical Analysis of Random Telegraph Noise in Digital Circuits Xiaoming Chen 1, Yu Wang 1, Yu Cao 2, Huazhong Yang 1 1 EE, Tsinghua University,

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

Introduction to Karnaugh Maps

Introduction to Karnaugh Maps Introduction to Karnaugh Maps Review So far, you (the students) have been introduced to truth tables, and how to derive a Boolean circuit from them. We will do an example. Consider the truth table for

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Bit-Stuffing Algorithms for Crosstalk Avoidance in High-Speed Switching

Bit-Stuffing Algorithms for Crosstalk Avoidance in High-Speed Switching Bit-Stuffing Algorithms for Crosstalk Avoidance in High-Speed Switching Cheng-Shang Chang, Jay Cheng, Tien-Ke Huang, Xuan-Chao Huang, Duan-Shin Lee, and Chao-Yi Chen Institute of Communications Engineering

More information

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849,

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

From Blind Certainty to Informed Uncertainty

From Blind Certainty to Informed Uncertainty From Blind Certainty to Informed Uncertainty Kurt Keutzer and Michael Orshansky University of California, Berkeley All good things were at one time bad things; every original sin has developed into an

More information

Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations

Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins D. Alexander and Vishwani D. Agrawal Auburn University, Department of Electrical and Computer

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications M. C. Parameshwara 1,K.S.Shashidhara 2 and H. C. Srinivasaiah 3 1 Department of Electronics and Communication

More information

Multi-Level Logic Optimization. Technology Independent. Thanks to R. Rudell, S. Malik, R. Rutenbar. University of California, Berkeley, CA

Multi-Level Logic Optimization. Technology Independent. Thanks to R. Rudell, S. Malik, R. Rutenbar. University of California, Berkeley, CA Technology Independent Multi-Level Logic Optimization Prof. Kurt Keutzer Prof. Sanjit Seshia EECS University of California, Berkeley, CA Thanks to R. Rudell, S. Malik, R. Rutenbar 1 Logic Optimization

More information

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Tong-Yu Hsieh and Kuen-Jong Lee Department of Electrical Engineering National Cheng Kung University Tainan, Taiwan 70101

More information

Standard & Canonical Forms

Standard & Canonical Forms 1 COE 202- Digital Logic Standard & Canonical Forms Dr. Abdulaziz Y. Barnawi COE Department KFUPM 2 Outline Minterms and Maxterms From truth table to Boolean expression Sum of minterms Product of Maxterms

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

CPE/EE 427, CPE 527 VLSI Design I L18: Circuit Families. Outline

CPE/EE 427, CPE 527 VLSI Design I L18: Circuit Families. Outline CPE/EE 47, CPE 57 VLI Design I L8: Circuit Families Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe57-05f

More information

Design for Variability and Signoff Tips

Design for Variability and Signoff Tips Design for Variability and Signoff Tips Alexander Tetelbaum Abelite Design Automation, Walnut Creek, USA alex@abelite-da.com ABSTRACT The paper provides useful design tips and recommendations on how to

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester,

More information

Boolean Matching Using Generalized Reed-Muller Forms

Boolean Matching Using Generalized Reed-Muller Forms oolean Matching Using Generalized Reed-Muller Forms Chien-Chung Tsai Malgorzata Marek-Sadowska Department of Electrical and Computer Engineering University of California Santa arbara, CA 93106 USA Abstract

More information

Exclusive OR/ Exclusive NOR

Exclusive OR/ Exclusive NOR University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Chapter 2 Combinational Logic Circuits Part 8 Charles Kime & Thomas Kaminski Exclusive

More information

Computing Clock Skew Schedules Under Normal Process Variation

Computing Clock Skew Schedules Under Normal Process Variation Computing Clock Skew Schedules Under Normal Process Variation Aaron P. Hurst and Robert K. Brayton Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley, CA

More information

Digital Microelectronic Circuits ( ) Logical Effort. Lecture 7: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Logical Effort. Lecture 7: Presented by: Adam Teman Digital Microelectronic ircuits (361-1-3021 ) Presented by: Adam Teman Lecture 7: Logical Effort Digital Microelectronic ircuits The VLSI Systems enter - BGU Lecture 7: Logical Effort 1 Last Lectures The

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

RALF: Reliability Analysis for Logic Faults An Exact Algorithm and Its Applications

RALF: Reliability Analysis for Logic Faults An Exact Algorithm and Its Applications RALF: Reliability Analysis for Logic Faults An Exact Algorithm and Its Applications Samuel Luckenbill 1, Ju-Yueh Lee 2, Yu Hu 3, Rupak Majumdar 1, and Lei He 2 1. Computer Science Department, University

More information

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, John Lillis 2, Chung-Kuan Cheng Department of Computer Science and Engineering University of

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Delay and Power Estimation

Delay and Power Estimation EEN454 Digital Integrated ircuit Design Delay and Power Estimation EEN 454 Delay Estimation We would like to be able to easily estimate delay Not as accurate as simulation But make it easier to ask What

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Dynamic Logic Introduction Digital IC EE141 2 Dynamic logic outline Dynamic logic principle Dynamic logic

More information

Tutorial on Mathematical Induction

Tutorial on Mathematical Induction Tutorial on Mathematical Induction Roy Overbeek VU University Amsterdam Department of Computer Science r.overbeek@student.vu.nl April 22, 2014 1 Dominoes: from case-by-case to induction Suppose that you

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

Contributions to the Evaluation of Ensembles of Combinational Logic Gates

Contributions to the Evaluation of Ensembles of Combinational Logic Gates Contributions to the Evaluation of Ensembles of Combinational Logic Gates R. P. Ribas, S. Bavaresco, N. Schuch, V. Callegaro,. Lubaszewski, A. I. Reis Institute of Informatics FRGS, Brazil. ISCAS 29 &

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

Lecture 14: Circuit Families

Lecture 14: Circuit Families Introduction to CMOS VLSI Design Lecture 4: Circuit Families David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Pseudo-nMOS Logic q Dynamic Logic q

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

COMBINATIONAL LOGIC. Combinational Logic

COMBINATIONAL LOGIC. Combinational Logic COMINTIONL LOGIC Overview Static CMOS Conventional Static CMOS Logic Ratioed Logic Pass Transistor/Transmission Gate Logic Dynamic CMOS Logic Domino np-cmos Combinational vs. Sequential Logic In Logic

More information

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7 EECS 427 Lecture 8: dders Readings: 11.1-11.3.3 3 EECS 427 F09 Lecture 8 1 Reminders HW3 project initial proposal: due Wednesday 10/7 You can schedule a half-hour hour appointment with me to discuss your

More information

Design and Implementation of Carry Tree Adders using Low Power FPGAs

Design and Implementation of Carry Tree Adders using Low Power FPGAs 1 Design and Implementation of Carry Tree Adders using Low Power FPGAs Sivannarayana G 1, Raveendra babu Maddasani 2 and Padmasri Ch 3. Department of Electronics & Communication Engineering 1,2&3, Al-Ameer

More information

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs Raimund Ubar, Lembit Jürimägi (&), Elmet Orasson, and Jaan Raik Department of Computer Engineering,

More information

COMP 103. Lecture 16. Dynamic Logic

COMP 103. Lecture 16. Dynamic Logic COMP 03 Lecture 6 Dynamic Logic Reading: 6.3, 6.4 [ll lecture notes are adapted from Mary Jane Irwin, Penn State, which were adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] COMP03

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques David Bordoley, Hieu guyen, Mani Soma Department of Electrical Engineering, University of Washington, Seattle WA {bordoley,

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

RAPID increase in the design complexity and the need

RAPID increase in the design complexity and the need IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 6, JUNE 1999 697 High-Level Area and Power Estimation for VLSI Circuits Mahadevamurty Nemani and Farid N. Najm,

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Digital Electronics Final Examination. Part A

Digital Electronics Final Examination. Part A Digital Electronics Final Examination Part A Spring 2009 Student Name: Date: Class Period: Total Points: /50 Converted Score: /40 Page 1 of 13 Directions: This is a CLOSED BOOK/CLOSED NOTES exam. Select

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Designing Combinational Logic Circuits Fuyuzhuo School of Microelectronics,SJTU Introduction Digital IC Dynamic Logic Introduction Digital IC 2 EE141 Dynamic

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Intro To Digital Logic

Intro To Digital Logic Intro To Digital Logic 1 Announcements... Project 2.2 out But delayed till after the midterm Midterm in a week Covers up to last lecture + next week's homework & lab Nick goes "H-Bomb of Justice" About

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

Synthesis for Testability Techniques for Asynchronous Circuits

Synthesis for Testability Techniques for Asynchronous Circuits Synthesis for Testability Techniques for Asynchronous Circuits Kurt Keutzer Synopsys Mountain View, CA Luciano Lavagno University of California Berkeley, CA Alberto Sangiovanni-Vincentelli University of

More information

Linear Cofactor Relationships in Boolean Functions

Linear Cofactor Relationships in Boolean Functions 1 Linear Cofactor Relationships in Boolean Functions Jin S. Zhang 1 Malgorzata Chrzanowska-Jeske 1 Alan Mishchenko 2 Jerry R. Burch 3 1 Department of ECE, Portland State University, Portland, OR 2 Department

More information