A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis

Size: px
Start display at page:

Download "A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis"

Transcription

1 A Framework for Layout-Level Logic Restructuring Hosung Leo Kim John Lillis

2 Motivation: Logical-to-Physical Disconnect Logic-level Optimization disconnect Physical-level Optimization fixed netlist Limited by the structure obtained from the logic-level Performance is determined largely by physicallevel Interconnect delay. Problem: timing optimization at logic-level actual performance.

3 Past Layout-Driven Restructuring Work: Replication Based Basic Operations: Gate Splitting Fanout Partitioning; Enables Path Straightening [Schabas, Brown. ISFPGA03] [Beraudo, Lillis. DAC03] [Hrkic, Lillis, Beraudo. TCAD06] [Chen, Cong. ISFPGA05]

4 Limitation of Logic Replication While interconnect delay can be significantly reduced, the LUT-depth of a path remains unchanged. The LUT-depth is typically determined by a technology mapper which does not have an accurate view of critical paths. Candidate: Remapping

5 Other Work Redundant Wires (e.g., [Chang, Cheng, Suaris, Marek- Sadowska. DAC00]) rewire connections while keeping logical equivalence. Predictable, but optimization scope limited [Lin, Jagannathan, and Cong. ISFPGA03] Remap based on placement-level timing analysis Significant restructuring, but placement of remapped cells determined by initial placement (not simultaneous). [Singh and Brown. Integration07] Shannon s expansion / precomputation Allows late signals to skip logic levels, but relatively local in nature

6 Objectives Overcome limitations of basic replication (e.g., fixed LUT-depth) Large and flexible remapping space Explicitly account for placement freedom of remapped LUTs Tight coupling with placement

7 Components of Approach (FPGA Domain) Placement-Level Static Timing Analysis Timing-Critical Fan-in Cone Extraction Induce Replication Tree [Hrkic,TCAD06]

8 Components of Approach (cont d) Replication tree Recursive, Exhaustive Ashenhurst LUT Decomposition Subject Graph (Choice Tree) Mapper and Embedder (Dynamic Programming) Legalizer A A choice node i j k l a B C a b c d e f g h (a) Given LUT-tree k j i l i j k l i k j l b R d i j k l B choice node C choice node e c R g 1 g 4 g 6 g 7 g 2 c d g 3 g 5 d c c d g 8 cd a b e h h e e g f h f a b f g a b c d e f g h (b) Choice tree

9 Remapping Example A B A B d E a b c C D e f g h i j k l (a) Given LUT-tree m E d e m C D a b c h f g i j k l (b) Mini-LUT tree after LUT-decompositions A B A E a b d e m C D c h f g i j k l (c) Alternative mapping de E B a b c C D f g h i j k l (d) Corresponding LUT-tree m

10 Functional Decomposition Simple Disjoint Functional Decomposition Test for decomposability Ashenhurst s theorem xy wz g 1 f 1 bit (Simple) x y Recursively decompose w x y z g 2 disjoint w z

11 All Recursive Decompositions f a b c d f a b c d g 3 a b g 3 g 2 c g 6 c d g 1 d g 3 a b g 8 g 5 d g 7 a b g 4 c g 1 g 2 d g 2 g 3 c g 3 a b g 4 g 5 c g 5 g 3 d g 6 g 3 c d g 7 a b g 8 g 8 c d ab cd

12 Choice Tree [Lehman,TCAD97] A i j k l A choice node B C l k l j l a b c d e f g h (a) Given LUT-tree k j i i j i k i j k l B choice node C choice node g 1 g 4 g 6 g 7 g 2 d g 5 c c d g 8 a b h e f h c g 3 d cd e h e g a b f g a b c d (b) Choice tree e f g h

13 Algorithm Mini-LUT Tree Mapping Fan-in Tree Embedding [Hrkic,TCAD06] Simultaneous Remapping and Embedding

14 Logic Remapping Formulation Formulation Given a mini-lut tree and arrival time at the leaves, map the tree to K-input LUTs minimizing cost subject to an arrival time constraint at the root. a b c d e f g h i j k l m

15 Solution Signature (c,a) for a sub-tree rooted u, a solution is characterized by two parameters: cost of the embedding (and remapping) of a sub-tree. arrival time at u. Dominance Relation (c,a) is not dominated by (c,a ) when c is better than c or a is better than a. arrival time cost

16 Solution Sets J S i [u] = {(c,a)} u: signal produced by root LUT i: # inputs of root LUT c: # LUTs in subtrees a: the latest among the fan-ins. S i [u] finalized solution from S i [u]. c: # LUTs in subtrees + 1 a: the root LUT included. S[u] non-dominated_sol(s 2 [b],, S K [b]) J u h i (0,6)(0,2) J S 2 [u]={(0,6)} u h i (0,6)(0,2) S 2 [u]={(1,7)}

17 J S i [u] Example For simplicity: one LUT = one unit cost one LUT = one unit delay

18 S i [u] and S[u] Example S i [b] S[b] = non-dominated_sol(s 2 [b],, S K [b]) = {(1,7)}

19 Computation of S i [u] J L R i = 1, no collapsing of u and L i = K-1, no collapsing of u and R Otherwise, collapsing of u, L, and R. i (a) K - i u u i = 1 a b i = 2 a b i = 3 (=K 1) c d c d K = 4 (b) (c) (d) J J J J J S 4 [u] = join(s[a],s 3 [b]) join(s 2 [a], S 2 [b]) join(s 3 [a],s[b])

20 Remapping Algorithm Example b a c arrival time d e f g (0,4) h i j k l m (0,6)(0,2)(0,3)(0,2)(0,1)(0,4) (a) Subject Tree

21 Algorithms Mini-LUT Tree Mapping Fan-in Tree Embedding [Hrkic,TCAD06] Simultaneous Remapping and Embedding

22 Tree Embedding [Hrkic,TCAD06] topology arrival time pin locations c R a b R d (0,4) e a c R b R d e (0,3) f (0,2) target layout graph cost metrics a Embedding Algorithm arrival time a f d b R d e e c R f f

23 Algorithms Mini-LUT Tree Mapping Fan-in Tree Embedding [Hrkic,TCAD06] Simultaneous Remapping and Embedding

24 Simultaneous Remapping and Formulation Embedding Given a mini-lut tree with fixed leaves and root, and arrival time at the leaves, a target layout graph Simultaneously map the tree to K-input LUTs and embed.

25 Solution Set S i [u][v] J The remapped root produces signal u and is placed at v in the target layout graph.

26 Solution Set S i [u][v] J Solutions S i [u][w] are finalized and drives vertex v in the target layout graph. Computed by shortest weight-constrained path algorithm. u S i [u][v] v w h i j k

27 Solution Set S[u][v] S[u][v] non-dominated-sol(s 2 [u][v],,s K [u][v]) The best remapping regardless of the number of inputs at v in the target layout graph.

28 Simultaneous Remapping and Embedding Example a v 23 b c h g d e f g i m h i j k l m (c) S 4 [a][v 23 ]={(22,10)} j k l arrival time (19,13) (20,11) (22,10) cost (c) S[a][v 23 ]={(19,13),(20,11),(22,10)}

29 Benchmarks Experiment 20 MCNC benchmark circuits At least 20% white space Comparisions Timing-driven VPR placer Replication Tree embedder Arbor embedder [Kim,GLSVLSI06] Remapping embedder Criteria of Interest LUT depth Clock period of circuits Different logic-level mappers and Stability effect of new algorithm

30 Optimization Flow Initial Netlist & Placement Static Timing Analysis & Replication Tree Construction Modified Netlist Tree Embedding Post-Processing & Legalization Repl Tree embedder Remapping embedder Modified Netlist & Placement

31 LUT Depth Changes Crit. Path Crit. Path ckt ckt s apex2 9 8 seq 8 8 dsip diffeq 9 9 alu4 9 9 misex3 9 8 apex tseng 9 9 ex5p New ckt Init. ckt Crit. Path Crit. Path ckt ckt clma s s pdc ex elliptic spla frisc 5 5 bigkey 8 8 des New ckt Init. ckt

32 Routed Clock Period ex5p tseng apex4 misex3 alu4 diffeq dsip seq apex2 s298 des bigkey frisc spla elliptic ex1010 pdc s38417 s clma Average Normalized Clock Period Avg Delay T-VPR 1 Repl Arbor Remap Max reduction of REMAP vs Arbor 11.7% VPR Repl Arbor Remap

33 Different Logic-level Mappers and Stability Effect of Remap FlowMap: optimal depth. FlowMap-r: relaxed depth. ZMap: optimal depth with simultaneous area minimization. Praetor: minimized area. Daomap 90 Span: 12% FlowMap FlowMap-r ZMap Praetor Daomap Span: 4% 0 VPR Repl Remap seq

34 Summary Study of layout-level restructuring for interconnect optimization. Functional Decomposition Choice Tree Remapping Algorithm Simultaneous remapping and embedding Experimental Result Average 17% reduction on clock period compared with T-VPR.

35 Thank You!

Fast FPGA Placement Based on Quantum Model

Fast FPGA Placement Based on Quantum Model Fast FPGA Placement Based on Quantum Model Lingli Wang School of Microelectronics Fudan University, Shanghai, China Email: llwang@fudan.edu.cn 1 Outline Background & Motivation VPR placement Quantum Model

More information

Constrained Clock Shifting for Field Programmable Gate Arrays

Constrained Clock Shifting for Field Programmable Gate Arrays Constrained Clock Shifting for Field Programmable Gate Arrays Deshanand P. Singh Dept. of Electrical and Computer Engineering University of Toronto Toronto, Canada singhd@eecg.toronto.edu Stephen D. Brown

More information

Characterization of Sequential. Combinational circuits have limited application, and any general CAD tool or FPGA must

Characterization of Sequential. Combinational circuits have limited application, and any general CAD tool or FPGA must Chapter 4 Characterization of Sequential Circuits Combinational circuits have limited application, and any general CAD tool or FPGA must be able to deal with sequential circuits. In this chapter, we expand

More information

An Integer Programming Placement Approach to FPGA Clock Power Reduction

An Integer Programming Placement Approach to FPGA Clock Power Reduction An Integer Programming Placement Approach to FPGA Clock Power Reduction Alireza Rakhshanfar Dept. of ECE, University of Toronto Toronto, ON Canada e-mail: ali.rakhshanfar@utoronto.ca Jason H. Anderson

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications

A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications A New Method to Express Functional Permissibilities for LUT based FPGAs and Its Applications Shigeru Yamashita, Hiroshi Sawada and Akira Nagoya NTT Communication Science Laboratories 2-2 Hikaridai, Seika-cho,

More information

Pre-Layout Estimation of Individual Wire Lengths

Pre-Layout Estimation of Individual Wire Lengths University of Toronto Pre-Layout Estimation of Individual Wire Lengths Srinivas Bodapati (Univ. of Illinois) Farid N. Najm (Univ. of Toronto) f.najm@toronto.edu Introduction Interconnect represents an

More information

Ring Sums, Bridges and Fundamental Sets

Ring Sums, Bridges and Fundamental Sets 1 Ring Sums Definition 1 Given two graphs G 1 = (V 1, E 1 ) and G 2 = (V 2, E 2 ) we define the ring sum G 1 G 2 = (V 1 V 2, (E 1 E 2 ) (E 1 E 2 )) with isolated points dropped. So an edge is in G 1 G

More information

LUTMIN: FPGA Logic Synthesis with MUX-Based and Cascade Realizations

LUTMIN: FPGA Logic Synthesis with MUX-Based and Cascade Realizations LUTMIN: FPGA Logic Synthesis with MUX-Based and Cascade Realizations Tsutomu Sasao and Alan Mishchenko Dept. of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 80-80, Japan Dept.

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS5 J. Wawrzynek Spring 22 2/22/2. [2 pts] Short Answers. Midterm Exam I a) [2 pts]

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7 EECS 427 Lecture 8: dders Readings: 11.1-11.3.3 3 EECS 427 F09 Lecture 8 1 Reminders HW3 project initial proposal: due Wednesday 10/7 You can schedule a half-hour hour appointment with me to discuss your

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem

An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem Juyeon Kim 1 juyeon@ssl.snu.ac.kr Deokjin Joo 1 jdj@ssl.snu.ac.kr Taewhan Kim 1,2 tkim@ssl.snu.ac.kr 1

More information

Finite Metric Spaces & Their Embeddings: Introduction and Basic Tools

Finite Metric Spaces & Their Embeddings: Introduction and Basic Tools Finite Metric Spaces & Their Embeddings: Introduction and Basic Tools Manor Mendel, CMI, Caltech 1 Finite Metric Spaces Definition of (semi) metric. (M, ρ): M a (finite) set of points. ρ a distance function

More information

AN EXAMPLE OF ILOC ABSTRACTION (VECTORIZATION AND MODULARIZATION) William Bricken March 2003

AN EXAMPLE OF ILOC ABSTRACTION (VECTORIZATION AND MODULARIZATION) William Bricken March 2003 AN EXAMPLE OF ILOC ABSTRACTION (VECTORIZATION AND MODULARIZATION) William Bricken March 2003 The ILOC tools for abstraction of netlists into arbitrary bit-width vectors and into repeated functional modules

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1

Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1 3A-3 Detecting Support-Reducing Bound Sets using Two-Cofactor Symmetries 1 Jin S. Zhang Department of ECE Portland State University Portland, OR 97201 jinsong@ece.pdx.edu Malgorzata Chrzanowska-Jeske Department

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Cographs; chordal graphs and tree decompositions

Cographs; chordal graphs and tree decompositions Cographs; chordal graphs and tree decompositions Zdeněk Dvořák September 14, 2015 Let us now proceed with some more interesting graph classes closed on induced subgraphs. 1 Cographs The class of cographs

More information

On the Tradeoff between Power and Flexibility of FPGA Clock Networks

On the Tradeoff between Power and Flexibility of FPGA Clock Networks On the Tradeoff between Power and Flexibility of FPGA Clock Networks JULIEN LAMOUREUX AND STEVEN J.E. WILTON University of British Columbia FPGA clock networks consume a significant amount of power since

More information

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, John Lillis 2, Chung-Kuan Cheng Department of Computer Science and Engineering University of

More information

Constraint Satisfaction in Incremental Placement with Application to Performance Optimization under Power Constraints

Constraint Satisfaction in Incremental Placement with Application to Performance Optimization under Power Constraints Constraint Satisfaction in Incremental Placement with Application to Performance Optimization under Power Constraints Huan Ren and Shantanu Dutt Dept. of ECE, University of Illinois-Chicago Abstract We

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Speeding up the Dreyfus-Wagner Algorithm for minimum Steiner trees

Speeding up the Dreyfus-Wagner Algorithm for minimum Steiner trees Speeding up the Dreyfus-Wagner Algorithm for minimum Steiner trees Bernhard Fuchs Center for Applied Computer Science Cologne (ZAIK) University of Cologne, Weyertal 80, 50931 Köln, Germany Walter Kern

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement Wuxi Li, Meng Li, Jiajun Wang, and David Z. Pan University of Texas at Austin wuxili@utexas.edu November 14, 2017 UT DA Wuxi Li

More information

Switch Fabrics. Switching Technology S P. Raatikainen Switching Technology / 2004.

Switch Fabrics. Switching Technology S P. Raatikainen Switching Technology / 2004. Switch Fabrics Switching Technology S38.65 http://www.netlab.hut.fi/opetus/s3865 L4 - Switch fabrics Basic concepts Time and space switching Two stage switches Three stage switches Cost criteria Multi-stage

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Wirelength Modeling for Homogeneous and Heterogeneous FPGA Architectural Development

Wirelength Modeling for Homogeneous and Heterogeneous FPGA Architectural Development Wirelength Modeling for Homogeneous and Heterogeneous FPGA Architectural Development Alastair M. Smith, Joydip Das, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Analysis and Design of Digital Integrated Circuits (6.374) - Fall 2003 Quiz #2 Prof. Anantha Chandrakasan

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration Jiun-Li Lin, Po-Hsun Wu, and Tsung-Yi Ho Department of Computer Science and Information Engineering,

More information

Logic Synthesis for Layout Regularity using Decision Diagrams *

Logic Synthesis for Layout Regularity using Decision Diagrams * Logic Synthesis for Layout Regularity using Decision Diagrams * Malgorzata Chrzanowska-Jeske, Alan Mishchenko 2, Jinsong Zhang, and Marek Perkowski Department of ECE, Portland State University, Portland,

More information

On Detecting Multiple Faults in Baseline Interconnection Networks

On Detecting Multiple Faults in Baseline Interconnection Networks On Detecting Multiple Faults in Baseline Interconnection Networks SHUN-SHII LIN 1 AND SHAN-TAI CHEN 2 1 National Taiwan Normal University, Taipei, Taiwan, ROC 2 Chung Cheng Institute of Technology, Tao-Yuan,

More information

Preprint from Workshop Notes, International Workshop on Logic Synthesis (IWLS 97), Tahoe City, California, May 19-21, 1997

Preprint from Workshop Notes, International Workshop on Logic Synthesis (IWLS 97), Tahoe City, California, May 19-21, 1997 Preprint from Workshop Notes, International Workshop on Logic Synthesis (IWLS 97), Tahoe City, California, May 19-21, 1997 Multi-output Functional Decomposition with Exploitation of Don't Cares Christoph

More information

Multi-Level Logic Optimization. Technology Independent. Thanks to R. Rudell, S. Malik, R. Rutenbar. University of California, Berkeley, CA

Multi-Level Logic Optimization. Technology Independent. Thanks to R. Rudell, S. Malik, R. Rutenbar. University of California, Berkeley, CA Technology Independent Multi-Level Logic Optimization Prof. Kurt Keutzer Prof. Sanjit Seshia EECS University of California, Berkeley, CA Thanks to R. Rudell, S. Malik, R. Rutenbar 1 Logic Optimization

More information

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1 Luis Manuel Santana Gallego 71 Appendix 1 Clock Skew Model 1 Steven D. Kugelmass, Kenneth Steiglitz [KUG-88] 1. Introduction The accumulation of clock skew, the differences in arrival times of signal in

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Placement Metrics for Placement Wirelength Timing Power Routing congestion 2 Wirelength Estimation

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

Computational Boolean Algebra. Pingqiang Zhou ShanghaiTech University

Computational Boolean Algebra. Pingqiang Zhou ShanghaiTech University Computational Boolean Algebra Pingqiang Zhou ShanghaiTech University Announcements Written assignment #1 is out. Due: March 24 th, in class. Programming assignment #1 is out. Due: March 24 th, 11:59PM.

More information

Low Power Design Methodologies and Techniques: An Overview

Low Power Design Methodologies and Techniques: An Overview Design Design Methodologies and Techniques: An Overview Department of EE-Systems University of Southern California Los Angeles CA 90064 pedram@ceng.usc.edu Outline Introduction Analysis/Estimation Techniques

More information

Multi-Terminal Multi-Valued Decision Diagrams for Characteristic Function Representing Cluster Decomposition

Multi-Terminal Multi-Valued Decision Diagrams for Characteristic Function Representing Cluster Decomposition 22 IEEE 42nd International Symposium on Multiple-Valued Logic Multi-Terminal Multi-Valued Decision Diagrams for Characteristic Function Representing Cluster Decomposition Hiroki Nakahara, Tsutomu Sasao,

More information

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs Raimund Ubar, Lembit Jürimägi (&), Elmet Orasson, and Jaan Raik Department of Computer Engineering,

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Optimal Circuits for Parallel Multipliers

Optimal Circuits for Parallel Multipliers IEEE TRANSACTIONS ON COMPUTERS, VOL. 47, NO. 3, MARCH 1998 273 Optimal Circuits for Parallel Multipliers Paul F. Stelling, Member, IEEE, Charles U. Martel, Vojin G. Oklobdzija, Fellow, IEEE, and R. Ravi

More information

Exclusive OR/ Exclusive NOR

Exclusive OR/ Exclusive NOR University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Chapter 2 Combinational Logic Circuits Part 8 Charles Kime & Thomas Kaminski Exclusive

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Fast Buffer Insertion Considering Process Variation

Fast Buffer Insertion Considering Process Variation Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel, Mindspeed Agenda Introduction and motivation

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Yuchun Ma* Zhuoyuan Li* Jason Cong Xianlong Hong Glenn Reinman Sheqin Dong* Qiang Zhou *Department of Computer Science &

More information

Fault Equivalence, Dominance & Collapsing. Fault Equivalence

Fault Equivalence, Dominance & Collapsing. Fault Equivalence Fault Equivalence, Dominance & ollapsing Definition: If T a is the set of LL TVs which Detect Fault a, and T b is the set of LL TVs which Detect some other Fault b; the Two Faults a, and b are said to

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Sum-of-Generalized Products Expressions Applications and Minimization

Sum-of-Generalized Products Expressions Applications and Minimization Sum-of-Generalized Products Epressions Applications and Minimization Tsutomu Sasao Department of Computer Science and Electronics Kyushu Institute of Technology Iizuka 80-850 Japan Abstract This paper

More information

Concurrent Logic Restructuring and Placement for Timing Closure

Concurrent Logic Restructuring and Placement for Timing Closure Concurrent Logic Restructuring and Placement for Timing Closure Jinan Lou, Wei Chen, Massoud Pedram Department of Electrical Engineering Systems University of Southern California, Los Angeles, CA 90089

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Boolean Logic Continued Prof. James L. Frankel Harvard University

Boolean Logic Continued Prof. James L. Frankel Harvard University Boolean Logic Continued Prof. James L. Frankel Harvard University Version of 10:18 PM 5-Sep-2017 Copyright 2017, 2016 James L. Frankel. All rights reserved. D Latch D R S Clk D Clk R S X 0 ~S 0 = R 0 ~R

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

x 1 x 2 x 3 x 4 x 5 x 6 x 7 x 8 x 9 x 10 x 11 x 12 x 13 x 14 x 15 x 16

x 1 x 2 x 3 x 4 x 5 x 6 x 7 x 8 x 9 x 10 x 11 x 12 x 13 x 14 x 15 x 16 DECOMPOS: An Integrated System for Functional Decomposition Tsutomu Sasao and Munehiro Matsuura Department of Computer Science and Electronics Kyushu Institute of Technology Iizuka 820-8502, Japan Abstract

More information

An Algorithm for Bi-Decomposition of Logic Functions

An Algorithm for Bi-Decomposition of Logic Functions An Algorithm for Bi-Decomposition of Logic Functions Alan Mishchenko α Bernd Steinbach β Marek Perkowski α α Portland State University β Freiberg University of Mining and Technology Department of Electrical

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

662 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 5, MAY 2012

662 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 5, MAY 2012 662 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 5, MAY 2012 Analysis of Digital Circuit Dynamic Behavior With Timed Ternary Decision Diagrams for Better-Than-Worst-Case

More information

Hardware Design I Chap. 2 Basis of logical circuit, logical expression, and logical function

Hardware Design I Chap. 2 Basis of logical circuit, logical expression, and logical function Hardware Design I Chap. 2 Basis of logical circuit, logical expression, and logical function E-mail: shimada@is.naist.jp Outline Combinational logical circuit Logic gate (logic element) Definition of combinational

More information

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3 ECE 512 Digital System Testing and Design for Testability Model Solutions for Assignment #3 14.1) In a fault-free instance of the circuit in Fig. 14.15, holding the input low for two clock cycles should

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information

WITH rapid growth of traditional FPGA industry, heterogeneous

WITH rapid growth of traditional FPGA industry, heterogeneous INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2012, VOL. 58, NO. 1, PP. 15 20 Manuscript received December 31, 2011; revised March 2012. DOI: 10.2478/v10177-012-0002-x Input Variable Partitioning

More information

CS 140 Lecture 14 Standard Combinational Modules

CS 140 Lecture 14 Standard Combinational Modules CS 14 Lecture 14 Standard Combinational Modules Professor CK Cheng CSE Dept. UC San Diego Some slides from Harris and Harris 1 Part III. Standard Modules A. Interconnect B. Operators. Adders Multiplier

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

Dept. Information Systems Engineering, Osaka Univ., Japan

Dept. Information Systems Engineering, Osaka Univ., Japan Statistical Timing Analysis Considering Spatially and Temporally Correlated Dynamic Power Supply Noise Takashi Enami Shinyu Ninomiya Masanori Hashimoto Dept. Information Systems Engineering, Osaka Univ.,

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

Irredundant Sum-of-Products Expressions - J. T. Butler

Irredundant Sum-of-Products Expressions - J. T. Butler On the minimization of SOP s for Bi-Decomposable Functions T. Sasao* & J. T. Butler *Kyushu Institute of Technology, Iizuka, JAPAN Naval Postgraduate School Monterey, CA -5 U.S.A. Outline Introduction

More information

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1 Unit 9 Multiplexers, ecoders, and Programmable Logic evices Unit 9 Outline Multiplexers Three state buffers ecoders Encoders Read Only Memories (ROMs) Programmable logic devices ield Programmable Gate

More information

Linear Cofactor Relationships in Boolean Functions

Linear Cofactor Relationships in Boolean Functions 1 Linear Cofactor Relationships in Boolean Functions Jin S. Zhang 1 Malgorzata Chrzanowska-Jeske 1 Alan Mishchenko 2 Jerry R. Burch 3 1 Department of ECE, Portland State University, Portland, OR 2 Department

More information

STUDY OF PERMUTATION MATRICES BASED LDPC CODE CONSTRUCTION

STUDY OF PERMUTATION MATRICES BASED LDPC CODE CONSTRUCTION EE229B PROJECT REPORT STUDY OF PERMUTATION MATRICES BASED LDPC CODE CONSTRUCTION Zhengya Zhang SID: 16827455 zyzhang@eecs.berkeley.edu 1 MOTIVATION Permutation matrices refer to the square matrices with

More information

Software Engineering 2DA4. Slides 8: Multiplexors and More

Software Engineering 2DA4. Slides 8: Multiplexors and More Software Engineering 2DA4 Slides 8: Multiplexors and More Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital Logic

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Outline Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Bei Yu 1 Sheqin Dong 1 Yuchun Ma 1 Tao Lin 1 Yu Wang 1 Song Chen 2 Satoshi GOTO 2 1 Department of Computer Science

More information

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Borrowing Kun Young Chung and Sandeep K. Gupta University of Southern California, EE Systems Abstract High-speed circuits use

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

Cuts & Metrics: Multiway Cut

Cuts & Metrics: Multiway Cut Cuts & Metrics: Multiway Cut Barna Saha April 21, 2015 Cuts and Metrics Multiway Cut Probabilistic Approximation of Metrics by Tree Metric Cuts & Metrics Metric Space: A metric (V, d) on a set of vertices

More information

Lecture 8: Sequential Multipliers

Lecture 8: Sequential Multipliers Lecture 8: Sequential Multipliers ECE 645 Computer Arithmetic 3/25/08 ECE 645 Computer Arithmetic Lecture Roadmap Sequential Multipliers Unsigned Signed Radix-2 Booth Recoding High-Radix Multiplication

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Lecture 11: Adders. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 11: Adders. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture : dders Slides courtesy of Deming hen Slides based on the initial set from David Harris MOS VLSI Design Outline Single-bit ddition arry-ripple dder arry-skip dder arry-lookahead dder arry-select

More information

DSP Design Lecture 5. Dr. Fredrik Edman.

DSP Design Lecture 5. Dr. Fredrik Edman. SP esign SP esign Lecture 5 Retiming r. Fredrik Edman fredrik.edman@eit.lth.se Fredrik Edman, ept. of Electrical and Information Technology, Lund University, Sweden-www.eit.lth.se SP esign Repetition Critical

More information

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs ASP-DAC 2006 Session 8C-5: Inductive Issues in Power Grids and Packages Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs Authors: Brock J. LaMeres Agilent Technologies Kanupriya

More information

Outline Fault Simulation

Outline Fault Simulation K.T. Tim Cheng, 4_fault_sim, v. Outline Fault Simulation Applications of fault simulation Fault coverage vs product quality Fault simulation scenarios Fault simulation algorithms Fault sampling K.T. Tim

More information