Session # Name Company Title O/P

Size: px
Start display at page:

Download "Session # Name Company Title O/P"

Transcription

1 Session # Name Company Title / Keynote S1 Igor Fomenkov ASML Keynote S2 Hakaru Mizoguchi Gigaphoton Keynote S3 Gerry 'Sullivan UCD EUVL Extension Blue X S11 Vivek Bakshi EUV Litho EUVL Extension Blue X S12 Henryk Fiedorowicz Military University of Technology EUVL Extension Blue X S13 Klaus Bergmann Fraunhofer EUVL Extension Blue X S14 Serguei Kalmykov Ioffe Institute EUVL Extension Blue X S15 Craig Siders LLNL EUVL Extension Blue X S16 aul Sheridan Sirius XT EUV source for Lithography: readiness for HVM and outlook for increase in power and availability. High ower L EUV Source with Long Collector Mirror Lifetime for Semiconductor High Volume Manufacturing Laser roduced lasma Light Sources for Short Wavelength Applications Blue X Case for EUVL Extension via Wavelength Reduction Recent advances in development and application of compact laser plasma soft X ray sources based on a gas puff target Wavelength and brilliance scaling potential of discharge based XUV sources Xe Laser lasma EUV Source from 13.5 nm to 11 nm: Researches to ptimize the Xe L 11 nm Source New Architectures for W Scale High eak ower Lasers Scalable to Near MW Average owers and Their Application to EUV Generation A Water Window source for Soft X Ray Microscopy and other Applications EUVL Extension Blue X S17 Hans Hertz KTH Liquid jet laser plasma sources for sub 5 nm emission EUVL Extension Blue X S18 Torsten Feigl ptixfab Multilayer optics for 1 nm to 13.5 nm: Can we reduce the lithography wavelength further? age 1

2 Session # Name Company Title / EUVL Extension Blue X S19 Ronald Meisels Leoben Depth modified Bragg mirrors for sub 10 nm wavelengths FEL S21 Hiroshi Kawata KEK Upgrade plan of cerl for the C as a first stage of the development on EUV FEL high power light source FEL S22 Nishikino Masaharu QST Surface Ablation by Soft X ray Laser ulse for EUV nanoscale fabrication FEL S23 Jom Luiten Eindhoven Technology Laser cooled electron source Lasers S31 eter Kraus ARCNL HHG Status, challenges and ARCNL program review Lasers S32 Stephane Sebban ENSTA aristech rogress on laser driven soft x ray lasers at LA Lasers S33 Tomas Mocek HiLASE Technologies and applications of high average power lasers at HiLASE Lasers S34 Akira Endo HiLASE Quantum Technology and kw, ps thin disc lasers Lasers S35 Thomas Metzger Trumpf Ultrafast Thin Disk Amplifiers Lasers S36 Johannes Kaschke Trumpf Lasers S37 Johannes Weitenberg Fraunhofer Beam Quality of ulsed High ower C 2 Lasers for EUV Lithography High Harmonic Generation for EUV Frequency Comb Spectroscopy of He + age 2

3 Session # Name Company Title / Lasers S38 Jiri Mužík HiLASE Lasers S39 Siva Sankar Nagisetty HiLASE Lasers S40 aweł Sikociński HiLASE Lasers S70 Yuya Koshiba Waseda University L S41 scar Versolato ARCNL 0.5 kw picosecond thin disk laser system for pre pulsing in high power EUV sources High energy burst mode thin disk multipass amplifier for laser Compton X ray source Development of a high energy, cryogenically cooled Yb:YAG laser system Adopting Crab Crossing to Laser Compton Scattering X ray Nd:YAG laser driven Sn plasma: an ARCNL research update L S42 Ronnie Hoekstra ARCNL Tin ion interactions. L S43 Ruben Schupp ARCNL Influence of opacity in Nd:YAG laser produced tin plasmas L S44 adraig Dunne UCD TBA L S45 avel Krainov ISAN EUV induced plasma of hydrogen with nitrogen admixture L S45 Dmitrii Astakhov RnD ISAN L S46 Bogdan Lakatosh Moscow Institute of hysics and Technology Computer modeling of contamination and cleaning of EUV source optics Validation of radiation hydrodynamic model against experiment with C 2 laser produced tin plasma age 3

4 Session # Name Company Title / Metrology S65 Matthias Müller Metrology S61 Slava Medvedev Metrology S62 Daniel Wilson Laser Laboratorium Göttingen e.v. 1 RnD ISAN/EUV Labs Forschungszentrum Jülich GmbH Soft x ray spectroscopy and microscopy using a table top laser induced plasma source Free standing carbon nanotube membranes for applications in extreme ultraviolet and soft X ray optics Design and evaluation of a focusing EUV monochromator for laboratory based photoemission electron microscopy beyond He II Metrology S63 Muharrem Bayraktar Twente Monitoring EUV and DUV spectral emission ratios of a high power EUVL source Metrology S64 Martin Duda HiLASE Metrology Sources S51 Jaroslav Nejdl ELI A single shot NEXAFS spectroscopy using laser plasma double stream gas puff target SXR source EUV/X ray sources driven by new generation of lasers for user applications at ELI Beamlines Metrology Sources S52 Steve Horne Energetiq Mixed gas fueling experiments on the Energetiq EQ 10 Metrology Sources S53 Reza Abhari ETHZ TBA Metrology Sources S54 Michael Krivokoritov Euv Labs/RnD ISAN High brightness light source based on a new concept of L for actinic EUV microscopy and metrology applications Metrology Sources S55 Ladislav ina Rigaku Metrology Sources S56 Yusuke Teramoto Ushio Electron impact type laboratory EUV source for metrology and imaging Characterization and performance improvement of laserassisted and laser driven EUV sources for metrology applications age 4

5 Session # Name Company Title / Metrology Sources S57 Kosuke Saito Energetiq Metrology Sources S58 Jochen Vieker Fraunhofer Xenon plus additives in the Energetiq EQ 10: Initial Results The extendibility of the maintenance interval of a discharge based EUV source Metrology Sources S59 Florian Melsheimer RWTH Aachen University Advances in laser heated discharge plasma sources Metrology Sources S60 Chiara Liberatore HiLASE EUV LASMA SURCE AT HILASE age 5

2017 Source Workshop (November 6-8, 2017), Dublin, Ireland. Session # Presenter Company Title Oral / Poster

2017 Source Workshop (November 6-8, 2017), Dublin, Ireland. Session # Presenter Company Title Oral / Poster Session # resenter Company Title ral / oster Keynote S1 Carolyn Larabell LBL Imaging biological cells using soft x-ray tomography Keynote S2 Igor Fomenkov ASML EUV Source for High Volume Manufacturing:

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

2018 Source Workshop. November 5-7, 2018 Prague Czech Republic. Workshop Abstracts

2018 Source Workshop. November 5-7, 2018 Prague Czech Republic. Workshop Abstracts November 5-7, 2018 Prague Czech Republic Workshop Abstracts 2018 Source Workshop Sponsors Gold Level Sponsors Organized by Vivek Bakshi (EUV Litho, Inc.), Chair Akira Endo (HiLASE), Co-Chair Ladislav Pina

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

2015 International Workshop on EUV and Soft X-Ray Sources. November 9-11, 2015 Dublin Ireland. Workshop Abstracts

2015 International Workshop on EUV and Soft X-Ray Sources. November 9-11, 2015 Dublin Ireland. Workshop Abstracts 2015 International Workshop on EUV and Soft X-Ray Sources November 9-11, 2015 Dublin Ireland Workshop Abstracts WWW.EUVLITHO.COM 2015 International Workshop on EUV and Soft X-ray Sources Workshop Sponsors

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

GI Collectors for EUV/BEUV Sources and Metrology Ladislav Pina Rigaku Innovative Technologies Europe, Prague 4, Czech Republic

GI Collectors for EUV/BEUV Sources and Metrology Ladislav Pina Rigaku Innovative Technologies Europe, Prague 4, Czech Republic GI Collectors for EUV/BEUV Sources and Metrology Ladislav Pina Rigaku Innovative Technologies Europe, 142 21 Prague 4, Czech Republic 1 MOTIVATION Collector optics and diagnostic tools for EUV/BEUV lithography

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

International Workshop on EUV and Soft X-Ray Sources (2016 Source Workshop) November 7-9, 2016 Amsterdam The Netherlands.

International Workshop on EUV and Soft X-Ray Sources (2016 Source Workshop) November 7-9, 2016 Amsterdam The Netherlands. International Workshop on EUV and Soft X-Ray Sources (06 Source Workshop) November 7-9, 06 Amsterdam The Netherlands Workshop Agenda WWW.EUVLITHO.COM 06 International Workshop on EUV and Soft X-Ray Sources

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Consequences of high-frequency operation on EUV source efficiency

Consequences of high-frequency operation on EUV source efficiency Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette,

More information

LDLS Laser-Driven Light Source

LDLS Laser-Driven Light Source LDLS Laser-Driven Light Source From The Innovators in Light ENERGETIQ 7/8/2011 1 Peter J. Dwyer, Ph.D. pdwyer@energetiq.com +1 781 939 0763 x 141 The LDLS Product Range EQ-99FC LDLS System EQ-1500 LDLS

More information

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Gerry O Sullivan, Deirdre Kilbane, Li Bowen and Padraig Dunne, School of Physics, University College Dublin, Belfield, Dublin

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

FLASH overview. Nikola Stojanovic. PIDID collaboration meeting, Hamburg,

FLASH overview. Nikola Stojanovic. PIDID collaboration meeting, Hamburg, FLASH overview Nikola Stojanovic PIDID collaboration meeting, Hamburg, 16.12.2011 Outline Overview of the FLASH facility Examples of research at FLASH Nikola Stojanovic PIDID: FLASH overview Hamburg, December

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Attosecond Science. Jon Marangos, Director Extreme Light Consortium, Imperial College London

Attosecond Science. Jon Marangos, Director Extreme Light Consortium, Imperial College London Attosecond Science Jon Marangos, Director Extreme Light Consortium, Imperial College London Electron Orbit in Bohr Model T orbit 150 as for H ground state Electron Motion In most matter electrons are in

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

Beam manipulation with high energy laser in accelerator-based light sources

Beam manipulation with high energy laser in accelerator-based light sources Beam manipulation with high energy laser in accelerator-based light sources Ming-Chang Chou High Brightness Injector Group FEL winter school, Jan. 29 ~ Feb. 2, 2018 Outline I. Laser basic II. III. IV.

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths

Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths K. Mann J.O. Dette, F. Kühl, U. Leinhos, M. Lübbecke, T. Mey, M. Müller, M. Stubenvoll, J. Sudradjat, B. Schäfer Laser-Laboratorium

More information

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY FEL 2004 Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics M. Richter S.V. Bobashev, J. Feldhaus A. Gottwald, U. Hahn A.A. Sorokin, K. Tiedtke BESSY PTB s Radiometry Laboratory at BESSY II 1

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe,

More information

Undulator radiation from electrons randomly distributed in a bunch

Undulator radiation from electrons randomly distributed in a bunch Undulator radiation from electrons randomly distributed in a bunch Normally z el >> N u 1 Chaotic light Spectral property is the same as that of a single electron /=1/N u Temporal phase space area z ~(/

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

2017 Source Workshop. November 6-8, 2017 Dublin Ireland. Workshop Abstracts

2017 Source Workshop. November 6-8, 2017 Dublin Ireland. Workshop Abstracts November 6-8, 2017 Dublin Ireland Workshop Abstracts WWW.EUVLITHO.COM 2017 Source Workshop Workshop Co-Organizers www.euvlitho.com 2 Welcome Dear Colleagues; I am delighted to invite you to the 2017 Source

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

ELISS

ELISS ELISS 2016 22. 8. 2016 Study nature in smaller spatial and shorter time scales Spatial resolution d = 0.61 λ NA Motivation Phys. Today 65, 9, 44 (2012) Temporal resolution ~pulse duration in pump-probe

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Pushing the limits of laser synchrotron light sources

Pushing the limits of laser synchrotron light sources Pushing the limits of laser synchrotron light sources Igor Pogorelsky National Synchrotron Light Source 2 Synchrotron light source With λ w ~ several centimeters, attaining XUV region requires electron

More information

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Aneta S. Stodolna 1, Tiago de Faria Pinto 1, Faisal Ali 1, Alex Bayerle 1, Dmitry Kurilovich

More information

Extatic welcome week, 22/9/2017

Extatic welcome week, 22/9/2017 Extatic welcome week, 22/9/2017 Motivation Phys. Today 65, 9, 44 (2012) 2 Need for short X-ray pulses Motivation Synchrotrons: 100 ps (fs) XFEL (X-ray Free Electron Lasers): >10 fs Superbright, but large

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

High quality beam generation and its application at Waseda University

High quality beam generation and its application at Waseda University High quality beam generation and its application at Waseda University Shigeru Kashiwagi, Yoshimasa Hama, Hiroki Ishikawa, Ryunosuke Kuroda, Takashi Oshima, Masakazu Washio, Akira Yada Advanced Rsearch

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Looking into the ultrafast dynamics of electrons

Looking into the ultrafast dynamics of electrons Looking into the ultrafast dynamics of electrons G. Sansone 1,2,3 1) Dipartimento di Fisica Politecnico Milano, Italy 2) Institute of Photonics and Nanotechnology, CNR Politecnico Milano Italy 3) Extreme

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

Laser Physics OXFORD UNIVERSITY PRESS SIMON HOOKER COLIN WEBB. and. Department of Physics, University of Oxford

Laser Physics OXFORD UNIVERSITY PRESS SIMON HOOKER COLIN WEBB. and. Department of Physics, University of Oxford Laser Physics SIMON HOOKER and COLIN WEBB Department of Physics, University of Oxford OXFORD UNIVERSITY PRESS Contents 1 Introduction 1.1 The laser 1.2 Electromagnetic radiation in a closed cavity 1.2.1

More information

Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory

Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory Femtosecond time-delay holography Henry Chapman Centre for Free-Electron Laser Science - DESY Lawrence Livermore National Laboratory Henry.Chapman@desy.de Isaac Newton Opticks 1704 Newton was the first

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Laser-driven X-ray sources: realization and future trends

Laser-driven X-ray sources: realization and future trends Laser-driven X-ray sources: realization and future trends Patrick Audebert, Julien Gautier, Fabien Quéré, Rodrigo Lopez-Martens, Le Thi Thu Thuy, Philippe Martin, Hamed Merdji, Pascal Monot, Eduardo Oliva,

More information

The BESSY - FEL Collaboration

The BESSY - FEL Collaboration The BESSY - FEL Collaboration Planning the Revolution for Research with soft X-Rays Photon Energy Range : 20 ev up to 1 kev λ/λ 10-2 to 10-4 Peak Power: 1mJ in 200 fs >> 5 GW Time Structure: 200 fs (

More information

PIs: Louis DiMauro & Pierre Agostini

PIs: Louis DiMauro & Pierre Agostini Interaction of Clusters with Intense, Long Wavelength Fields PIs: Louis DiMauro & Pierre Agostini project objective: explore intense laser-cluster interactions in the strong-field limit project approach:

More information

Ultrafast nanoscience with ELI ALPS

Ultrafast nanoscience with ELI ALPS Ultrafast nanoscience with ELI ALPS Péter Dombi Wigner Research Centre for Physics, Budapest & Max Planck Institute of Quantum Optics, Garching Overview ultrafast (femtosecond/attosecond) dynamicsin metal

More information

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Radiation hydrodynamics of tin targets for laser-plasma EUV sources Radiation hydrodynamics of tin targets for laser-plasma EUV sources M. M. Basko, V. G. Novikov, A. S. Grushin Keldysh Institute of Applied Mathematics, Moscow, Russia RnD-ISAN, Troitsk, Moscow, Russia

More information

Introduction to Synchrotron Radiation

Introduction to Synchrotron Radiation Introduction to Synchrotron Radiation Frederico Alves Lima Centro Nacional de Pesquisa em Energia e Materiais - CNPEM Laboratório Nacional de Luz Síncrotron - LNLS International School on Laser-Beam Interactions

More information

Research with Synchrotron Radiation. Part I

Research with Synchrotron Radiation. Part I Research with Synchrotron Radiation Part I Ralf Röhlsberger Generation and properties of synchrotron radiation Radiation sources at DESY Synchrotron Radiation Sources at DESY DORIS III 38 beamlines XFEL

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas Prof. dr hab. inż. Tadeusz Pisarczyk Institute of Plasma Physics and Laser Microfusion. 23 Hery St., 01-489 Warsaw. Warsaw, November 21, 2018r. Introduction: Review of the doctoral dissertation of Ismail

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Lecture 1 August 29

Lecture 1 August 29 HASYLAB - Facility - Free Electron Laser (FEL) http://www-hasylab.desy.de/facility/fel/main.htm Page 1 of 1 8/23/2006 HASYLAB Facility Free Electron Laser Overview FLASH FLASH User Info Events Job Offers

More information

Laser Produced Plasma Light Source For Euvl Cymer

Laser Produced Plasma Light Source For Euvl Cymer We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with laser produced plasma

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

Development and Optimization of EUV Emission from Laser Produced Plasmas

Development and Optimization of EUV Emission from Laser Produced Plasmas Development and Optimization of EUV Emission from Laser Produced Plasmas Gerry O Sullivan School of Physics, University College Dublin, Belfield, Dublin 4, Ireland. 2011 International Workshop on EUV Lithography,

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Generare de pulsuri multiple in sisteme laser ultrarapide si aplicatii la laserul cu raze X

Generare de pulsuri multiple in sisteme laser ultrarapide si aplicatii la laserul cu raze X EXTREME LIGHT INFRASTRUCTURE - un nou impuls pentru cercetarea stiintifica interdisciplinara - Magurele 17-18 18 Septembrie 2008 Generare de pulsuri multiple in sisteme laser ultrarapide si aplicatii la

More information