2017 Source Workshop (November 6-8, 2017), Dublin, Ireland. Session # Presenter Company Title Oral / Poster

Size: px
Start display at page:

Download "2017 Source Workshop (November 6-8, 2017), Dublin, Ireland. Session # Presenter Company Title Oral / Poster"

Transcription

1 Session # resenter Company Title ral / oster Keynote S1 Carolyn Larabell LBL Imaging biological cells using soft x-ray tomography Keynote S2 Igor Fomenkov ASML EUV Source for High Volume Manufacturing: erformance at 250 watt, and Key Technologies for ower Scaling Fundamental Data S11 James Colgan LANL Atomic data of low-charged Sn ions for lithography applications Fundamental Data S12 Noboyuki Nakamura UEC Tokyo EUV spectra of highly charged ions observed with a compact electron beam ion trap Fundamental Data S13 Hendrik Bekker Max lanck Institute Fundamental studies of Sn 7+ -Sn 14+ ions with applications for laser produced plasma sources Fundamental Data S14 Ronnie Hoekstra ARCNL Tin ion spectroscopy on plasma sources of EUV light Modeling S21 Bowen Li Lanzhou Atomic and radiative processes in high-z plasmas and their applications in EUV Lithography and Water Window imaging Modeling S22 Howard Scott LLNL Towards High-Fidelity Simulations of EUV roduction from Laser- roduced lasma Modeling S23 John Shiel UCD Soft x-ray spectroscopy of Dy, Er and Tm ions excited in laserproduced plasmas Modeling S24 Akira Sasaki QST Modeling of particle debris from the target of laser pumped plasma EUV source

2 Session # resenter Company Title ral / oster Modeling S25 Slava Medvedev ISAN Measurements and numerical simulations of Sn ion stopping in lowpressure H 2 atmosphere Modeling S26 Luning Liu UCD Configuration Interaction Effects in Unresolved np 6 nd N+1 - np 5 nd N+2 +np 6 nd N nf 1 Transition Arrays; Contrasting Behaviour for n=4 and n=5 Lasers S31 Thomas Metzger Trumpf High Average ower and High Energy Ultrafast Thin-Disk Amplifiers Lasers S32 Johannes Weitenberg Max-lanck Institute of Quantum ptics High-harmonic generation for metrology applications Lasers S33 Jiri Muzi HiLase Development of kw-level picosecond thin-disk pre-pulse laser for high-power EUV sources Lasers S34 Mira Vrbova Czech Academy for Sciences Modelling of hybrid pumping of nitrogen recombination laser L-HVM S41 Hakaru Mizoguchi Gigaphoton High ower L-EUV Source with Long Collector Mirror Lifetime for High Volume Semiconductor Manufacturing L-HVM S42 Kentaro Tomita Kyushu Two-dimensional electron density and temperature profiles of EUV light sources with 4.0% CE L-HVM S43 Francesco Torretti ARCNL Short-wavelength out-of-band EUV emission from Sn laserproduced plasma L-HVM S44 Dmitry Kurilovich ARCNL Expansion dynamics after laser-induced cavitation in liquid tin microdroplets

3 Session # resenter Company Title ral / oster L-HVM S45 Thomas Morgan DIFFER Vapour shielding of tin under intense plasma bombardment L-HVM S46 scar Versolato ARCNL ARCNL roject verview (Tentative Title) L-HVM S47 Yuta Sato Kyushu Development of a collective Thomson scattering system for laserproduced high-z plasmas for soft X-ray light sources S51 Yusuke Teramoto Ushio Characterization of laser-assisted and laser-driven EUV sources for metrology applications S52 Duane Hudgins ETHZ Laser induced shockwave droplet breakup dynamics S53 Klaus Bergmann Fraunhofer lasma based XUV sources for metrology applications S54 Yasin Ekinci SI A high-brightness accelerator-based EUV source for EUV actinic mask inspection S55 Samir Ellwi ISTEQ Actinic light source based on L for HVM mask inspection applications S56 Joe Kline NIST Compact X-ray and for Semiconductor S57 isín Maguire UCD EUV/SXR spectroscopy of Ge Laser-roduced lasma: Ge VI-XI in the 9-18 nm region

4 Session # resenter Company Title ral / oster S58 Domagoj Kos UCD Colliding laser produced plasmas analysis: fast imaging and spectroscopic study S61 Vivek Bakshi EUV Litho Industry Requirements for for Wafer Inspection S62 Steve Horne Energetiq The Electrode-less Z-inch as a metrology source in the nm range. S63 Ilya Bezel KLA High ower Laser-Sustained lasma Light for KLA-Tencor Broadband Wafer Inspection Tools S64 Samir Ellwi ISTEQ High brightness broadband light source for various industrial applications S71 Gerry McDermott LBL Soft X-ray Microscopes for Biology: The Source S72 Maija Vihinen-Ranta Finland Chromatin reorganization during viral infection S73 Fergal 'Reilley UCD Exploring the Soft X-ray Radiance of Laser lasmas S74 Daniel Vicario ILT Laboratory tomographic microscopy with compact plasma based extreme ultraviolet and soft x-ray sources S75 Brendan Dromey Queen's Belfast Relativistic plasma control using two-colour fields

5 Session # resenter Company Title ral / oster S76 Larissa Juschkin ILT Spectroscopic EUV reflectometry for characterization of thin films systems and determination of optical constants ptics and S81 Torsten Feigal ptixfab REFURBISHMENT F CLLECTR MIRRRS FR WATER WINDW MICRSCY ptics and S82 Eric Louis Univ Twente EUVL optics for Free Electron Laser sources: damage threshold studies and the use of adjusted wavelengths ptics and S84 Slava Medvedev ISAN Novel spectrometers for broad-band characterization of EUVemitting plasmas ptics and S85 Muharrem Bayraktar Univ. Twente Broadband spectral characterization of EUV light sources with a transmission grating spectrometer ptics and S86 Carmen Vela Garcia UCD An EUV Monochromator for use with an L Source

Session # Name Company Title O/P

Session # Name Company Title O/P Session # Name Company Title / Keynote S1 Igor Fomenkov ASML Keynote S2 Hakaru Mizoguchi Gigaphoton Keynote S3 Gerry 'Sullivan UCD EUVL Extension Blue X S11 Vivek Bakshi EUV Litho EUVL Extension Blue X

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

2017 Source Workshop. November 6-8, 2017 Dublin Ireland. Workshop Abstracts

2017 Source Workshop. November 6-8, 2017 Dublin Ireland. Workshop Abstracts November 6-8, 2017 Dublin Ireland Workshop Abstracts WWW.EUVLITHO.COM 2017 Source Workshop Workshop Co-Organizers www.euvlitho.com 2 Welcome Dear Colleagues; I am delighted to invite you to the 2017 Source

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications Gerry O Sullivan, Deirdre Kilbane, Li Bowen and Padraig Dunne, School of Physics, University College Dublin, Belfield, Dublin

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

International Workshop on EUV and Soft X-Ray Sources (2016 Source Workshop) November 7-9, 2016 Amsterdam The Netherlands.

International Workshop on EUV and Soft X-Ray Sources (2016 Source Workshop) November 7-9, 2016 Amsterdam The Netherlands. International Workshop on EUV and Soft X-Ray Sources (06 Source Workshop) November 7-9, 06 Amsterdam The Netherlands Workshop Agenda WWW.EUVLITHO.COM 06 International Workshop on EUV and Soft X-Ray Sources

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

2015 International Workshop on EUV and Soft X-Ray Sources. November 9-11, 2015 Dublin Ireland. Workshop Abstracts

2015 International Workshop on EUV and Soft X-Ray Sources. November 9-11, 2015 Dublin Ireland. Workshop Abstracts 2015 International Workshop on EUV and Soft X-Ray Sources November 9-11, 2015 Dublin Ireland Workshop Abstracts WWW.EUVLITHO.COM 2015 International Workshop on EUV and Soft X-ray Sources Workshop Sponsors

More information

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Aneta S. Stodolna 1, Tiago de Faria Pinto 1, Faisal Ali 1, Alex Bayerle 1, Dmitry Kurilovich

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

LDLS Laser-Driven Light Source

LDLS Laser-Driven Light Source LDLS Laser-Driven Light Source From The Innovators in Light ENERGETIQ 7/8/2011 1 Peter J. Dwyer, Ph.D. pdwyer@energetiq.com +1 781 939 0763 x 141 The LDLS Product Range EQ-99FC LDLS System EQ-1500 LDLS

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Laser Physics OXFORD UNIVERSITY PRESS SIMON HOOKER COLIN WEBB. and. Department of Physics, University of Oxford

Laser Physics OXFORD UNIVERSITY PRESS SIMON HOOKER COLIN WEBB. and. Department of Physics, University of Oxford Laser Physics SIMON HOOKER and COLIN WEBB Department of Physics, University of Oxford OXFORD UNIVERSITY PRESS Contents 1 Introduction 1.1 The laser 1.2 Electromagnetic radiation in a closed cavity 1.2.1

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Fiber Gratings p. 1 Basic Concepts p. 1 Bragg Diffraction p. 2 Photosensitivity p. 3 Fabrication Techniques p. 4 Single-Beam Internal Technique p.

Fiber Gratings p. 1 Basic Concepts p. 1 Bragg Diffraction p. 2 Photosensitivity p. 3 Fabrication Techniques p. 4 Single-Beam Internal Technique p. Preface p. xiii Fiber Gratings p. 1 Basic Concepts p. 1 Bragg Diffraction p. 2 Photosensitivity p. 3 Fabrication Techniques p. 4 Single-Beam Internal Technique p. 4 Dual-Beam Holographic Technique p. 5

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

PHOTOELECTRON SPECTROSCOPY IN AIR (PESA)

PHOTOELECTRON SPECTROSCOPY IN AIR (PESA) PHOTOELECTRON SPECTROSCOPY IN AIR (PESA) LEADERS IN GAS DETECTION Since 1977 Model AC-3 Features: Atmospheric pressure operation (unique in the world) Estimate work function, ionization potential, density

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe,

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

プラズマ光源関連イオンの EBIT による分光

プラズマ光源関連イオンの EBIT による分光 プラズマ光源関連イオンの EBIT による分光 Emission spectroscopy of multiply charged ions related to plasma light sources with an EBIT 大橋隼人, 八釼純治, 坂上裕之 *, 中村信行 レーザー新世代研究センター, 電気通信大学 * 核融合科学研究所 2012 年度原子分子データ応用フォーラムセミナー 2012

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

High Power Laser-Sustained Plasma Light Sources for KLA-Tencor Broadband Wafer Inspection Tools

High Power Laser-Sustained Plasma Light Sources for KLA-Tencor Broadband Wafer Inspection Tools High Power Laser-Sustained Plasma Light Sources for KLA-Tencor Broadband Wafer Inspection Tools I. Bezel, M. Derstine, K. Gross, S. Lange, A. Shchemelinin, J. Szilagyi, and D. Shortt KLA-Tencor Corp. One

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Introduction to the Diagnosis of Magnetically Confined Thermonuclear Plasma

Introduction to the Diagnosis of Magnetically Confined Thermonuclear Plasma Introduction to the Diagnosis of Magnetically Confined Thermonuclear Plasma Core diagnostics II: Bolometry and Soft X-rays J. Arturo Alonso Laboratorio Nacional de Fusión EURATOM-CIEMAT E6 P2.10 arturo.alonso@ciemat.es

More information

Photoelectron Spectroscopy using High Order Harmonic Generation

Photoelectron Spectroscopy using High Order Harmonic Generation Photoelectron Spectroscopy using High Order Harmonic Generation Alana Ogata Yamanouchi Lab, University of Tokyo ABSTRACT The analysis of photochemical processes has been previously limited by the short

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

Metal Vapour Lasers Use vapoured metal as a gain medium Developed by W. Silfvast (1966) Two types: Ionized Metal vapour (He-Cd) Neutral Metal vapour

Metal Vapour Lasers Use vapoured metal as a gain medium Developed by W. Silfvast (1966) Two types: Ionized Metal vapour (He-Cd) Neutral Metal vapour Metal Vapour Lasers Use vapoured metal as a gain medium Developed by W. Silfvast (1966) Two types: Ionized Metal vapour (He-Cd) Neutral Metal vapour (Cu) All operate by vaporizing metal in container Helium

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

2018 Source Workshop. November 5-7, 2018 Prague Czech Republic. Workshop Abstracts

2018 Source Workshop. November 5-7, 2018 Prague Czech Republic. Workshop Abstracts November 5-7, 2018 Prague Czech Republic Workshop Abstracts 2018 Source Workshop Sponsors Gold Level Sponsors Organized by Vivek Bakshi (EUV Litho, Inc.), Chair Akira Endo (HiLASE), Co-Chair Ladislav Pina

More information

Dept. of Physics, MIT Manipal 1

Dept. of Physics, MIT Manipal 1 Chapter 1: Optics 1. In the phenomenon of interference, there is A Annihilation of light energy B Addition of energy C Redistribution energy D Creation of energy 2. Interference fringes are obtained using

More information

Consequences of high-frequency operation on EUV source efficiency

Consequences of high-frequency operation on EUV source efficiency Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette,

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under contract

This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under contract This work was performed under the auspices of the U.S. Department of Energy by under contract DE-AC52-7NA27344. Lawrence Livermore National Security, LLC The ITER tokamak Tungsten (W) is attractive as

More information

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources

Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Inves&ga&on of atomic processes in laser produced plasmas for the short wavelength light sources Akira Sasaki Quantum Beam Science Directorate Japan Atomic Energy Agency Introduc&on EUV source at λ=6.5nm

More information

Plasma Radiation. Ø Free electrons Blackbody emission Bremsstrahlung

Plasma Radiation. Ø Free electrons Blackbody emission Bremsstrahlung Plasma Radiation Ø Free electrons Blackbody emission Bremsstrahlung Ø Bound electrons (Z>2) Unresolved, multi-line emission Resolved line emission -- Single Z +n Objective Infer a thermodynamic quantity

More information

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES

Chemistry 524--Final Exam--Keiderling May 4, :30 -?? pm SES Chemistry 524--Final Exam--Keiderling May 4, 2011 3:30 -?? pm -- 4286 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils are permitted. No open books or

More information

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source C. Blome, K. Sokolowski-Tinten *, C. Dietrich, A. Tarasevitch, D. von der Linde Inst. for Laser- and

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Interested in exploring science or math teaching as a career?

Interested in exploring science or math teaching as a career? Interested in exploring science or math teaching as a career? Start with Step 1: EDUC 2020 (1 credit) Real experience teaching real kids! No commitment to continue with education courses Registration priority

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW #5 due today April 11 th class will be at 2PM instead of

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

EUV spectra from the NIST EBIT

EUV spectra from the NIST EBIT EUV spectra from the NIST EBIT D. Kilbane and G. O Sullivan Atomic and Molecular Plasma Physics group, UCD, Ireland J. D. Gillaspy, Yu. Ralchenko and J. Reader National Institute of Standards and Technology,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

Energetiq Soft X-Ray Light Source. EUV Litho 2011 Debbie Gustafson, Matt Partlow, Paul Blackborow, Steve Horne, Matt Besen, Don Smith

Energetiq Soft X-Ray Light Source. EUV Litho 2011 Debbie Gustafson, Matt Partlow, Paul Blackborow, Steve Horne, Matt Besen, Don Smith Energetiq Soft X-Ray Light Source Debbie Gustafson, Matt Partlow, Paul Blackborow, Steve Horne, Matt Besen, Don Smith Outline History and background Motivation Recent published results Source development

More information

Opportunities for Advanced Plasma and Materials Research in National Security

Opportunities for Advanced Plasma and Materials Research in National Security Opportunities for Advanced Plasma and Materials Research in National Security Prof. J.P. Allain allain@purdue.edu School of Nuclear Engineering Purdue University Outline: Plasma and Materials Research

More information

Transmission Electron Microscopy

Transmission Electron Microscopy L. Reimer H. Kohl Transmission Electron Microscopy Physics of Image Formation Fifth Edition el Springer Contents 1 Introduction... 1 1.1 Transmission Electron Microscopy... 1 1.1.1 Conventional Transmission

More information

Short-wavelength out-of-band EUV emission from Sn laser-produced plasma

Short-wavelength out-of-band EUV emission from Sn laser-produced plasma Journal of Physics B: Atomic, Molecular and Optical Physics PAPER OPEN ACCESS Short-wavelength out-of-band EUV emission from Sn laser-produced plasma To cite this article: F Torretti et al 2018 J. Phys.

More information

Lecture 11: Introduction to diffraction of light

Lecture 11: Introduction to diffraction of light Lecture 11: Introduction to diffraction of light Diffraction of waves in everyday life and applications Diffraction in everyday life Diffraction in applications Spectroscopy: physics, chemistry, medicine,

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

AS 101: Day Lab #2 Summer Spectroscopy

AS 101: Day Lab #2 Summer Spectroscopy Spectroscopy Goals To see light dispersed into its constituent colors To study how temperature, light intensity, and light color are related To see spectral lines from different elements in emission and

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Laser Produced Plasma Light Source For Euvl Cymer

Laser Produced Plasma Light Source For Euvl Cymer We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with laser produced plasma

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information