Consequences of high-frequency operation on EUV source efficiency

Size: px
Start display at page:

Download "Consequences of high-frequency operation on EUV source efficiency"

Transcription

1 Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette, IN, USA ABSTRACT A potential problem of future extreme ultraviolet (EUV) sources, required for high volume manufacture (HVM) regimes, can be related to the contamination of chamber environment by products of preceding laser pulse/droplet interactions. Implementation of high, 100 khz and higher, repetition rate of EUV sources using Sn droplets ignited with laser pulses can cause high accumulation of tin in the chamber in the form of vapor, fine mist, or fragmented clusters. In this work, the effects of the residual tin accumulation in the EUV chamber in dependence on laser parameters and mitigation system efficiency were studied. The effect of various pressures of tin vapor on the CO 2 and Nd:YAG laser beams propagation and on the size, the intensity, and the resulting efficiency of the EUV sources was analyzed. The HEIGHTS 3D package was used for this analysis to study the effect of residual background pressure and spatial distribution on EUV photon emission and collection. It was found that background pressure in the range of 1-5 Pa does not significantly influence the EUV source produced by CO 2 laser. Larger volume with this pressure conditions, however, can reduce the efficiency of the source. However, an optimized volume of mix with proper density could increase the efficiency of the sources produced by CO 2 lasers. Keywords: EUV, LPP, HVM, Tin vapor, CMUXE, HEIGHTS, Debris mitigation 1. Introduction The success and the cost of the next generation computer chips will depend on the performance of EUV sources and on the duration of the efficient operation and lifetime of these nanolithography devices. While the efficiency of the sources is continuously being improved, their operational cycle is still highly restricted due to the degradation of the optical mirrors as well as necessity of maintaining clean operating chamber environment and components. Current investigations of EUV source production in semiconductor industry are focused on the use of dual-pulse laser produced plasma (LPP) on droplets of liquid tin targets. The main objectives and the challenges in the enhancement of these light sources are related to maximizing the conversion efficiency (CE) of the source as well as to increase components lifetime of the collector optical system. Optimum conditions satisfying both requirement depend on the first laser for target preparation, second laser for EUV photon production, and on the initial droplet target size. The interaction of pre-pulse laser beam with solid/liquid tin droplets results in the 1

2 production of vapor, plasma, and a mist of nano-/micro-fragments. The prevailing concentration of neutrals or ions and size of dust particles agglomeration in the developed mix depend on laser characteristics, mainly pulse duration, intensity, and wavelength. Various experiments showed that picosecond or nanosecond lasers with high intensity can deform or fragment small liquid droplet and expand it to relatively larger volume of mix mist and matter 1,2. Nanosecond lasers with lower intensity can transform small liquid droplets to disk-like targets that allows efficient usage of larger spot size of a second follow up laser 3. The difference in the developed mixed composition affects the rate of tin accumulation in the chamber and determine requirement for the mitigating system. While most of the plasma species and neutrals from preceding iteration can escape the area of the next laser/droplet interactions, the remaining fragmented parts of previous droplets, having much lower velocities 4, can be heated by incoming laser of next iteration and/or absorb fraction of the emitted EUV. For example, spectroscopic, laser-induced-fluorescence analysis showed such possibility of neutrals/fragments remaining after splitting even small, 20 m droplets 5. Various approaches were proposed for the damage mitigation and protection from contamination of the mirror optical collection system by the atomic and ionic debris in EUV chamber. Steady state and pulsed electric fields 6,7, magnetic fields in different configurations 8,9,10,11 were studied and optimized to reduce ions energy and flux to the collecting optics. Additionally, secondary plasma systems 12 were proposed to ionize Sn neutrals which then can be deviated by electric or magnetic fields or a combination of both. Modeling of LPPs in axial magnetic field showed spatial and temporal effects of magnetic field on plasma evolution and ions distribution and suggested that the time-varying magnetic fields as a magnetic pinch would be more efficient for the mitigating purposes 13. Gas flow near the mirror surfaces is currently considered as the main method for in-situ optics cleaning from the deposited Sn debris 8,14. However, buffer gases in the chamber even at relatively low pressure, 1-10 Pa, can absorb in-band and out-band EUV light that results in EUV induced plasma formation and development of various related phenomena 15,16. For example, such plasma can lead to the formation of electric field near mirrors surfaces accelerating Sn ions towards collecting optics 15. Several experimental and modeling studies predicted EUV induced plasma parameters such as electron density and temperature in dependence on gas pressure and EUV pulse energy 17,18. These studies used external source of EUV radiation and estimated the parameters of plasma induced in gas filled chamber without connection to the original EUV created plasma. However, in LPP chamber, EUV induced plasma developed near the mirror system can also be affected by the original plasma created from the target by single- or double-pulse laser beams. Reduced collision and recombination rates at low pressure in the chamber will result in free electrons propagation from the actual source area that can further affect EUV induced plasma properties. These studies require consideration of mixed environment in the chamber and dynamics and interaction of two flows, original plasma and injected gas. Our previous simulation results showed strong dependence of the CE on the properties of mist developed by the short pre-pulse lasers 19. It was shown that, for example, the density in the 2

3 vapor cloud surrounding the micro-fragments has large effect on the source efficiency and resulted in an increase from 2.1% to 3.3% in the CE. Recent experimental results showed that a CE as high as 5% can be achieved using picosecond (ps) lasers for the pre-pulse. Lasers with short pulse duration interacting with 20 m droplets can break the droplet into smaller submicron fragments. This leads to high evaporation and ionization rates of the developed mist particles by the following main laser pulse. Increasing the frequency of droplet generation and laser pulses will lead to accumulation of tin dust in the area surrounding EUV source production. Assessment of this issue and its effect are especially important for high volume manufacture (HVM) and the development of higher power sources where larger droplets are required to produce larger volume of EUV generating plasma. Allowing higher power of EUV source, larger droplets, however, will require higher prepulse laser intensities 3 and will lead to more and larger fragments and mist generation. In this work, the effects of possible accumulation of tin vapor on the EUV source evolution were studied. Modeling of source environment consisting from tin droplets and micro-fragments surrounded by vapor cloud with different pressures was performed using the comprehensive HEIGHTS simulation package. Studying the effect of different vapor volumes and densities around the droplet, we found regimes with transition from the degradation of EUV source efficiency to enhancement of EUV photons emission. Effect of chamber conditions on further fragments/vapor distribution was also analyzed. The maximum source operation frequency and efficiency could be affected and limited by the background environment inherited during source operation. 2. Brief description of modeling approach The HEIGHTS package includes advanced state-of-the art 3-D models for various interactions of laser photons with liquid/vapor/plasma, plumes hydrodynamics, and radiation and thermal processes. We continued to upgrade our HEIGHTS package for the analysis and optimization of EUV sources from LPPs. Our modeling and simulation include all phases of laser-target evolution: from laser/droplet interaction, energy deposition, target vaporization and fragmentation, ionization, plasma hydrodynamic expansion, thermal and radiation energy redistribution, and EUV photons collection as well as detail mapping of photons source location and size. These models were described in several publications 20,21. Brief physics description included in the package are given below. The HEIGHTS package consists of a set of independent modules for describing the main processes taking place in laboratory plasma devices. These integrated processes can be described by the general form of the hydrodynamic equation set for modeling of LPPs with a twotemperature approximation model given by: 3

4 v t v t e t h ei t v e vv p h 0 p h h 0 T T S v ei pi i Ti Qei e e i i rad Q las Here, is the density of plasma; v is the velocity of plasma; p h is the hydrodynamic pressure; eh ee ei ekin is the total energy; e e is the electronic component of the plasma energy, which includes thermal energy of electrons and ionization energy; e i is the ion 2 v component of the plasma energy; and e kin is the kinetic energy of the plasma. Pressure 2 has electron and ion parts ph pe pi. Thermal conduction in the plasma is considered as the combined result of the electron e Te and ion i Ti components, where is the conductivity coefficient and T is the temperature. Laser and plasma radiation processes are represented here as the laser heating source as Q las and flux S rad. The Q ei term is the energy interchange between electrons and ions. Splitting methods are used to separate the hyperbolic and parabolic parts of the above equations that allows implementation of different numerical methods for efficient modeling of hydrodynamic fluxes, heat conduction in plasma, laser heating, and radiation transport. These models for plasmas processes are integrated with models for the description of target evolution which include laser energy absorption/reflection at the surface, heat conduction in material, melting, and evaporation. Radiation transport (RT), one of the critical parts in the modeling of plasma evolution, has two implementations in the HEIGHTS package. These include a direct numerical solution of the RT equation using the Gaussian quadrature method for volume integration along the path of photons, and Monte Carlo models. Both methods were compared regarding the accuracy of produced results and requirements for the solid angle discretization (for the Gaussian quadrature integration) or pseudophotons number (for Monte Carlo integration) 22. The HEIGHTS models continued to be well benchmarked at each interaction physics phase during plasma evolution as well as in the whole integrated LPP systems. The results were extensively benchmarked against experimental studies for the in-band EUV photons production and for debris and ions generation 19. 4

5 3. Plasma and source dynamics at various background conditions To study the effects of the residual tin gas pressure, several background conditions were considered in this analysis. CO 2 laser with 30 ns duration and 100 mj total energy and Nd:YAG laser with 10 ns pulse and 40 mj total energy were used in these simulations. CO 2 laser having 200 m spot size and Nd:YAG laser having 100 m spot size heated 100 m droplet. This resulted in intensities of W/cm 2 and 5x10 10 W/cm 2 respectively. Gaussian temporal and spatial profiles were used in all cases. Much larger spot than droplet size in the case of CO 2 laser was chosen to produce more efficient EUV source that is due to longer duration of this laser and more efficient interaction with expanded plasma. 23. Figure 1 illustrates the modeling approach correspondent to mist cloud which might be formed at the conditions of the high-frequency operation of LPP source. Among the main components of the HEIGHTS package, Monte Carlo radiation transport and Monte Carlo modeling of laser photons interaction with tin droplet, vapor and plasma, include all possible mechanisms of interaction, absorption, reflection and reabsorption. These allows simulating all processes evolution self-consistently, e.g., it takes into account reduction of target heating due to laser absorption in evolving vapor/plasma; at the same time, heating of target by radiation from hot plasma; heating and ionization of vapor cloud around expanding plasma; changing of plume dynamics around the droplet due to high pressure in plasma layer absorbing most of laser photons, that again affects laser photon absorption and reflection. Liquid Tin Laser beam Figure 1. Illustration of mist distribution around the droplet during high-frequency operation of LPP and droplet generator The above approach was used to find conditions affecting EUV source characteristics. Comparison of the results for relatively low pressure, relevant to the pressure of the mitigating gas, and extremely high pressure for EUV devices was done to find the regimes affecting EUV photons generation and collection. Figures 2 (a) and (b) show the difference in the evolution of plasma plume created by CO 2 laser with 30 ns duration from 100 m droplet surrounded by tin 5

6 vapor at pressures of 3 Pa (Fig. 2a) and 300 Pa (Fig. 2b). Such difference in background conditions changes significantly both the density and temperature distribution in the evolving plasma. a) b) Figure 2. Effect of accumulated Sn in focus area on plasma dynamics produced by CO 2 laser: a) 3 Pa background pressure; b) 300 Pa background pressure. White contours show electron density distribution (logarithmic values) Figures 3 (a) and (b) illustrate the effect of the background conditions on the EUV source intensity and shape. In the case of low pressure, combination of relatively high electron temperature of 60 ev and density of ~10 18 cm -3 above the target results in low concentration of EUV producing ions 25 that explains low intensity of EUV production in this area (Fig. 3a). However, at higher pressure conditions (Fig. 3b), large volume with densities of cm -3 and temperatures appropriate for 13.5 nm photons absorption/emission is formed around the actual source near the target. Significant portion of EUV photons emitted in most productive plasma can be absorbed in this volume (Figs. 2b and 3b). Thus, this source has lower intensity and smaller size compared with the source produced at lower pressure. Small portion of collectable EUV photons is produced in the plasma layer formed far above the target with cm -3 electron density and 30 ev temperature (Fig. 2b). However, the intensity of these peripheral sources is much smaller due to two orders of magnitude lower density in comparison with the main source located near the target. Formation of such region in presence of background pressure was discussed in detail previously 25. 6

7 a) b) Figure 3. Effect of background tin on EUV source evolution produced by CO 2 laser: a) at 3 Pa background pressure; b) at 300 Pa background pressure The difference in the CE of the above sources is ~30%. The pressure of 1-5 Pa can be considered as the highest pressure when the background tin vapor does not result in any effects on the source efficiency in comparison with vacuum chamber conditions. Ten times increase in this pressure, for example, to 30 Pa, results in only ~5% decrease in the CE even in the case of relatively large vapor volume expanded up to 1 cm. These are encouraging results considering that very high pressure of 300 Pa would unlikely be established in EUV chamber with efficient mitigating system as well as good vacuum system in the chamber without perturbing the droplet injection system. However, a strong vacuum system in the chamber could affect the target system performance and its stability. Also, depending on the type of the mitigation system, more background gas can be produced. Therefore, it is important to study the limits of the background gas on source performance during the high frequency operation required for future high power devices needed for the HVM. The effect of background conditions also depends on the volume of the surrounding vapor plume. Decrease in the CE can be even at lower pressure if the background volume size is increased 11. Increasing the pressure from 3 Pa to 300 Pa in the volume with around 10 cm layer thickness above the target results in six times drop in the CE. Figures 4 (a) and (b) show simulation results of Nd:YAG laser interaction with droplet surrounded by vapor assuming constant pressure of 300 Pa (Fig. 4a) and pressure gradient with lowest value of 3 Pa and highest value of 300 Pa near the target (Fig. 4b). 7

8 a) b) Figure 4. Effect of background pressure distribution on plasma expansion produced by Nd:YAG laser: a) 300 Pa constant pressure; b) pressure gradient from 300 Pa near the target to 3 Pa at 8 cm above the target (red contours show logarithmic values of electron density) High constant vapor pressure confines plasma around the source increasing EUV reabsorption near the source and in cold relatively dense vapor/plasma above. Comparison of EUV photon absorption (in the range of %) for the two cases considered above is given in Fig. 5. Figure 5 shows high absorption rate in the area surrounding actual EUV source. The source location can be determined by the combination of ev temperature and cm -3 electron density in the case of Nd:YAG produced plasma (Fig. 4). Relatively high EUV absorption in cold layers above, especially in the case of 300 Pa pressure conditions, is related to photoionization of atoms and low charged ions. Lower absorption of photons with energies of ev in plasma with 5-15 ev temperatures can be explained by prevailing concentration of Sn 5+ - Sn 7+ ions in this area which have higher ionization energy than considered EUV photons (94 ev for Sn 5+ ) and do not contribute to these photons emission/absorption process due to EUV transitions 24. a) b) Figure 5. Effect of background tin on EUV photon absorption: a) 300 Pa constant pressure; b) pressure gradient from 300 Pa near the target to 3 Pa at 8 cm above the target (white contours show electron temperature) 8

9 4. Increasing source efficiency by surrounding vapor The above results showed that the efficiency of EUV source can be reduced at higher pressure of the surrounding vapor and at larger vapor volume. However, there are also conditions when the CE of the source can be increased due to the presence of tin vapor surrounding solid/liquid droplets or fragments. Previous studies showed that this effect is different for CO 2 and Nd:YAG lasers 25. Background vapor in a volume with radius of 500 m allows almost two times increase in the CE of the source produced by CO 2 laser. More detailed analysis of plasma evolution at various background and laser conditions allowed identifying the regimes for positive effects of background vapor on the EUV photons emission and collection. These results are presented for single CO 2 laser interaction with 50 μm droplets. Such systems in vacuum chamber conditions produce sources with very low efficiency 26. However, the efficiency can be increased if the proper vapor plume will surround the droplet. Figures 6 and 7 show simulation results for the conditions when small (100 m in diameter) and large (700 m in diameter) volume of vapor surrounded a 50 m droplet. In the case of smaller volume, vapor did not contribute much to the development of EUV source the CE of this source is similar to the CE produced from the droplet in high vacuum conditions. a) b) Figure 6. Plasma density and temperature (white contours) distribution during CO 2 laser interaction with 50 m droplet surrounded by a) small (100 m in diameter) and b) large (700 m in diameter) vapor plumes around the droplet Larger volume of the vapor with atoms concentration of 5x10 17 cm -3, corresponding to pressure of 3.5 kpa, has led to larger EUV source and contributed ~40% of additional EUV output (Fig. 7b). 9

10 a) b) Figure 7. Images of temporal EUV source produced at the peak of laser pulse: a) small (100 m in diameter) and b) large (700 m in diameter) vapor plumes around the droplet Further increase in vapor layer above the droplet allowed achieving source efficiency similar to the efficiency of planar targets created by lasers with large spot size. Figure 8 shows dependence of the source efficiency on the vapor volume indicating the transition to negative effect of background on the CE. Figure 8. CE dependence on background vapor layer around the target in the sources created by Nd:YAG laser The above analysis showed the important effects of the conditions around the droplet on EUV source evolution and production. These studies can be extrapolated to dual-beam systems, when droplet can be split into small fragments and mix created by short pre-pulse laser 27. These studies should be important for the development of higher power sources for HVM when larger targets will be required to produce larger volume of EUV producing plasma and due to increasing difficulty of preparing such larger droplets for the second, CO 2, pulsed laser heating. 10

11 5. CONCLUSION The success and the economic cost of the next generation computer chips will depend on the performance of EUV sources and on the efficient operation and lifetime of these nanolithography devices. While the efficiency of the sources is continuously being improved, their operational cycle is still highly restricted due to the degradation of the optical mirrors as well as necessity of maintaining clean chamber environment and components. These issues will even be more pronounced since the requirements for higher EUV power becomes more demanding. Continued improvement and optimization of EUV lithography technology requires, among others, improving the processes of EUV source development. Increasing the power of sources requires increasing the size of Sn droplets that will lead to more complex processes of target preparation by pre-pulse lasers. It is expected that the source chamber will have some residual gas pressure and fine mist due to the required high frequency operation for the high-volume manufacture (HVM). Strong vacuum system in the chamber could affect the target system, its stability, and cost. In addition, depending on the type of the mitigation system, more background gas can be produced. Therefore, it is important to carefully study the limits of the background gas on overall source performance. Detailed analysis of various mix compositions is required to optimize the source and to reduce debris accumulation in the chamber. The comprehensive HEIGHTS 3D package was used for the analysis of effect of background pressure and volume on EUV photon emission and collection. It was found that background pressure in the range of 1-5 Pa does not significantly influence the EUV source produced by CO 2 laser. Larger volume with this pressure conditions, however, can reduce the efficiency of the source. On the other hand, an optimized volume of mix with proper density could increase the efficiency of the sources produced by CO 2 lasers. ACKNOWLEDGMENTS This work is supported by the National Science Foundation, PIRE Project. We gratefully acknowledge the computing resources provided by the Blues cluster operated by the Laboratory Computing Resource Center at Argonne National Laboratory. References 1. S. Fujioka, M. Shimomura, Y. Shimada, S. Maeda, H. Sakaguchi, Y. Nakai, T. Aota, H. Nishimura, N. Ozaki, A. Sunahara, K. Nishihara, N. Miyanaga, Y. Izawa, and K. Mima, Appl. Phys. Lett. 92, (2008). 2. H. Mizoguchi, H. Nakarai, T. Abe, K. M Nowak, Y. Kawasuji, H. Tanaka, Y. Watanabe, T. Hori, T. Kodama, Y. Shiraishi, T. Yanagida, G. Soumagne, T. Yamada, T. Yamazaki, S. Okazaki, and T. Saitou, Proc. SPIE 9422, 94220C (2015). 3. D. Kurilovich, A. L. Klein, F. Torretti, A. Lassise, R. Hoekstra, W. Ubachs, H. Gelderblom, and O. O. Versolato, Phys. Rev. Applied 6, (2016). 11

12 4. A. Endo, in Proceedings of 2011 International Workshop on EUV and Soft X-Ray Sources, Ireland, 7 10 November H. Mizoguchi, K. M. Nowak, H. Nakarai, T. Abe, T. Ohta, Y. Kawasuji, H. Tanaka, Y. Watanabe, T. Hori, T. Kodama, Y. Shiraishi, T. Yanagida, T. Yamada, T. Yamazaki, S. Okazaki and T. Saitou, LMN-Journal of Laser Micro/Nanoengineering Vol. 11, No. 2, K. Takenoshita, C-S. Koay, M. Richardson, The Repeller Field debris mitigation approach for EUV sources, Proc. SPIE 5037, 792 (2003). 7. K. R. Umstadter, Advanced debris mitigation of EUV light source, Patent US B2, (2016). 8. H. Nagano, T. Abe, S. Nagai, M. Nakano, Y. Akanuma, S. Nakajima, K. Kakizaki, A. Sumitani, J. Fujimoto and H. Mizoguchi, Present status of laser-produced plasma EUV light source, Proc. SPIE 7636, 76363C (2010). 9. H. Mizoguchi, H. Nakarai, T. Abe, K. M. Nowak, Y. Kawasuji, H. Tanaka, Y. Watanabe, T. Hori, T. Kodama, Y. Shiraishi, T. Yanagida, T. Yamada, T. Yamazaki, S. Okazaki and T. Saitou, Development of 250W EUV light source for HVM lithography, Proc. SPIE 10097, (2017). 10. A. Roy, S. Hassan, S. S. Harilal, A. Endo, T. Mocek, and A. Hassanein, Extreme ultraviolet emission and confinement of tin plasmas in the presence of a magnetic field, Physics of Plasmas 21, (2014). 11. V. Sizyuk, A. Hassanein, and V. Bakshi, Modeling and optimization of debris mitigation systems for laser and discharge-produced plasma in extreme ultraviolet lithography devices, J. Micro/Nanolith. MEMS MOEMS 6(4), (2007). 12. M. Moriya, H. Komori, T. Asayama, Extreme ultra violet light source apparatus, Patent US A1 (2013). 13. V. Sizyuk and A. Hassanein, The effects of using axial magnetic field in extreme ultraviolet photon sources for nanolithography recent integrated simulation, Laser and Particle Beams, 34, 163 (2016). 14. D. T. Elg, J. R. Sporre, G. A. Panici, S. N. Srivastava, D. N. Ruzic, In situ collector cleaning and extreme ultraviolet reflectivity restoration by hydrogen plasma for extreme ultraviolet sources, Vac. Sci. Technol. A 34(2) (2016). 15. R. M. van der Horst, E. A. Osorio, V. Y. Banine, and J. Beckers, The influence of the EUV spectrum on plasma induced by EUV radiation in argon and hydrogen gas, Plasma Sources Sci. Technol. 25, (2016). 16. A. Dolgov, O. Yakushev, A. Abrikosov, E. Snegirev, V. M. Krivtsun, C. J. Lee and F. Bijkerk, Extreme ultraviolet (EUV) source and ultra-high vacuum chamber for studying EUV-induced processes, Plasma Sources Sci. Technol. 24, (2015). 17. R. M. van der Horst, J. Beckers, E. A. Osorio, D. I. Astakhov, W. J. Goedheer, C. J. Lee, V. V. Ivanov, V. M. Krivtsum, K. N. Koshelev, D. V. Lopaev, F. Bijkerk, and V. Y. Banine, Exploring the electron density in plasma induced by EUV radiation: I. Experimental study in hydrogen, J. Phys. D: Appl. Phys. 49, (2016). 12

13 18. D. I. Astakhov, W. J. Goedheer, C. J. Lee, V. V. Ivanov, V. M. Krivtsun, K. N. Koshelev, D. V. Lopaev, R. M. van der Horst, J. Beckers, E. A. Osorio, and F, Bijkerk, Exploring the electron density in plasma induced by EUV radiation: II. Numerical studies in argon and hydrogen, J. Phys. D: Appl. Phys. 49, (2016). 19. A. Hassanein and T. Sizyuk, Phys. Plasmas 20, (2013). 20. V. Sizyuk, A. Hassanein, V. Morozov, V. Tolkach, T. Sizyuk, and B. Rice, Numer. Heat Transfer, Part A 49, (2006). 21. V. Sizyuk, A. Hassanein, and T. Sizyuk, J. Appl. Phys. 100, (2006). 22. A. Hassanein, V. Sizyuk, V. Morozov, and B.J. Rice, Chapter 9. In: V. Bakshi ed. EUV Sources for Lithography, SPIE Press (2005). 23. T. Sizyuk and A. Hassanein, Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources, Phys. Plasmas 21, (2014). 24. V. Morozov, V. Tolkach, and A. Hassanein, Calculation of Tin Atomic Data and Plasma Properties, Argonne National Laboratory, Report ANL-ET-04/24 (2004) 25. T. Sizyuk and A. Hassanein, Phys. Plasmas 22, (2015). 26. J. Fujimoto, T. Abe, S. Tanaka, T. Ohta, T. Hori, T. Yanagida, H. Nakarai, and H. Mizoguchi, J. Micro/Nanolith. MEMS MOEMS 11 (2), (2012) 27. M. M. Basko, M. S. Krivokorytov, A. Yu. Vinokhodov, Yu. V. Sidelnikov, V. M. Krivtsun, V. V. Medvedev, D. A. Kim, V. O. Kompanets, A. A. Lash, and K. N. Koshelev, Laser Phys. Lett. 14, (2017). 13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe,

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

EXTREME ULTRAVIOLET (EUV) lithography (EUVL) 714 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 38, NO. 4, APRIL 2010 Interaction of a CO 2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source Yezheng Tao, Mark S. Tillack, Sam

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Aneta S. Stodolna 1, Tiago de Faria Pinto 1, Faisal Ali 1, Alex Bayerle 1, Dmitry Kurilovich

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas P. J. Skrodzki Acknowledgements This work is supported by the DOE/NNSA Office of Nonproliferation

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

arxiv: v1 [physics.plasm-ph] 1 Apr 2016

arxiv: v1 [physics.plasm-ph] 1 Apr 2016 Plasma Propulsion of a Metallic Micro-droplet and its Deformation upon Laser Impact arxiv:164.214v1 [physics.plasm-ph] 1 Apr 216 Dmitry Kurilovich, 1, 2 Alexander L. Klein, 3 Francesco Torretti, 1, 2 Adam

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Stable droplet generator for a high brightness laser produced plasma extreme ultraviolet source

Stable droplet generator for a high brightness laser produced plasma extreme ultraviolet source Stable droplet generator for a high brightness laser produced plasma extreme ultraviolet source A. Vinokhodov, M. Krivokorytov, Yu. Sidelnikov, V. Krivtsun, V. Medvedev, V. Bushuev, K. Koshelev, D. Glushkov,

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application )

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application ) Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application ) Shinsuke FUJIOKA, Hiroaki NISHIMURA, Katsunobu NISHIHARA, Noriaki MIYANAGA, Yasukazu IZAWA, Kunioki

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

In Collaboration with: K. Tanaka 1 and Y. Hirooka 2

In Collaboration with: K. Tanaka 1 and Y. Hirooka 2 Colliding plasma plumes and their applications for MFE and IFE plasma material interactions A. Hassanein, S. Harilal, V. Sizyuk, T. Sizyuk, G. Miloshevsky School of Nuclear Engineering, Purdue University

More information

arxiv: v2 [physics.plasm-ph] 8 Mar 2018

arxiv: v2 [physics.plasm-ph] 8 Mar 2018 Sn ion energy distributions of ns- and ps-laser produced plasmas arxiv:1711.02342v2 [physics.plasm-ph] 8 Mar 2018 A. Bayerle 1, M. J. Deuzeman 1,2, S. van der Heijden 1, D. Kurilovich 1,3, T. de Faria

More information

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources

Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources JOURNAL OF APPLIED PHYSICS 102, 023301 2007 Lifetime measurements on collector optics from Xe and Sn extreme ultraviolet sources S. N. Srivastava, a K. C. Thompson, E. L. Antonsen, H. Qiu, J. B. Spencer,

More information

Progress Report on Chamber Dynamics and Clearing

Progress Report on Chamber Dynamics and Clearing Progress Report on Chamber Dynamics and Clearing Farrokh Najmabadi, Rene Raffray, Mark S. Tillack, John Pulsifer, Zoran Dragovlovic (UCSD) Ahmed Hassanein (ANL) Laser-IFE Program Workshop May31-June 1,

More information

Fast Ignition Experimental and Theoretical Researches toward Fast Ignition Realization Experiment (FIREX)

Fast Ignition Experimental and Theoretical Researches toward Fast Ignition Realization Experiment (FIREX) 1 Fast Ignition Experimental and Theoretical Researches toward Fast Ignition Realization Experiment (FIREX) K. Mima 1), H. Azechi 1), H. Fujita 1), Y. Izawa 1), T. Jitsuno 1), T. Johzaki 1), Y. Kitagawa

More information

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China Research of the EUV radiation and CO 2 Laser produced tin plasma Wang Xinbing 1 *, Zuo DouLuo 1, Lu Peixiang 2, Wu Tao 3 1 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

Chamber Development Plan and Chamber Simulation Experiments

Chamber Development Plan and Chamber Simulation Experiments Chamber Development Plan and Chamber Simulation Experiments Farrokh Najmabadi HAPL Meeting November 12-13, 2001 Livermore, CA Electronic copy: http://aries.ucsd.edu/najmabadi/talks UCSD IFE Web Site: http://aries.ucsd.edu/ife

More information

Institute for Laser Technology

Institute for Laser Technology Shinsuke Fujioka, Teruyuki Ugomori, Kensuke Yoshida, Chaogang Li, Atsushi Sunahara A, Katsunobu Nishihara, Nozomi Tanaka, Hiroaki Nishimura Institute of Laser Engineering, Osaka University A Institute

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Computational Study on the Effect of the Pulse Length on Laser Ablation Processes

Computational Study on the Effect of the Pulse Length on Laser Ablation Processes Lasers in Manufacturing Conference 015 Computational Study on the Effect of the Pulse Length on Laser Ablation Processes "Stefan Tatra *, Rodrigo Gómez Vázquez, Andreas Otto" "Vienna University of Technology,

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Physics Physics fields Okayama University Year 2005 Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas Shinsuke Fujioka, Osaka University Hiroaki Nishimura, Osaka University

More information

Fast proton bunch generation in the interaction of ultraintense laser pulses with high-density plasmas

Fast proton bunch generation in the interaction of ultraintense laser pulses with high-density plasmas Fast proton bunch generation in the interaction of ultraintense laser pulses with high-density plasmas T.Okada, Y.Mikado and A.Abudurexiti Tokyo University of Agriculture and Technology, Tokyo -5, Japan

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

Ion Acceleration from the Interaction of Ultra-Intense Laser Pulse with a Thin Foil

Ion Acceleration from the Interaction of Ultra-Intense Laser Pulse with a Thin Foil Ion Acceleration from the Interaction of Ultra-Intense Laser Pulse with a Thin Foil Matthew Allen Department of Nuclear Engineering UC Berkeley mallen@nuc.berkeley.edu March 15, 2004 8th Nuclear Energy

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION

ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION ULTRA-INTENSE LASER PLASMA INTERACTIONS RELATED TO FAST IGNITOR IN INERTIAL CONFINEMENT FUSION R. KODAMA, H. FUJITA, N. IZUMI, T. KANABE, Y. KATO*, Y. KITAGAWA, Y. SENTOKU, S. NAKAI, M. NAKATSUKA, T. NORIMATSU,

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Laser-Induced Explosion and Detonation in Gas-Particle and Gas-Droplet Mixtures

Laser-Induced Explosion and Detonation in Gas-Particle and Gas-Droplet Mixtures Laser-Induced Explosion and Detonation in Gas-Particle and Gas-Droplet Mixtures Dr Konstantin Volkov Centre for Fire and Explosion Studies Kingston University Friars Avenue, Roehampton Vale, SW15 3DW London

More information

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

Radiation hydrodynamics of tin targets for laser-plasma EUV sources Radiation hydrodynamics of tin targets for laser-plasma EUV sources M. M. Basko, V. G. Novikov, A. S. Grushin Keldysh Institute of Applied Mathematics, Moscow, Russia RnD-ISAN, Troitsk, Moscow, Russia

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Nonlinear Optics (WiSe 2015/16) Lecture 12: January 15, 2016

Nonlinear Optics (WiSe 2015/16) Lecture 12: January 15, 2016 Nonlinear Optics (WiSe 2015/16) Lecture 12: January 15, 2016 12 High Harmonic Generation 12.1 Atomic units 12.2 The three step model 12.2.1 Ionization 12.2.2 Propagation 12.2.3 Recombination 12.3 Attosecond

More information

PIs: Louis DiMauro & Pierre Agostini

PIs: Louis DiMauro & Pierre Agostini Interaction of Clusters with Intense, Long Wavelength Fields PIs: Louis DiMauro & Pierre Agostini project objective: explore intense laser-cluster interactions in the strong-field limit project approach:

More information

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion C. Wimmer a, U. Fantz a,b and the NNBI-Team a a Max-Planck-Institut für Plasmaphysik, EURATOM

More information

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation

Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser pulse irradiation PRAMANA c Indian Academy of Sciences Vol. 82, No. 2 journal of February 2014 physics pp. 365 371 Spectral analysis of K-shell X-ray emission of magnesium plasma produced by ultrashort high-intensity laser

More information

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources

Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of Laser-Produced Plasma EUV Lithography Light Sources Radiation-Hydrodynamics, Spectral, and Atomic Physics Modeling of aser-produced Plasma EUV ithography ight Sources J. J. MacFarlane, C.. Rettig, P. Wang, I. E. Golovkin, and P. R. Woodruff Prism Computational

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

PIC simulations of laser interactions with solid targets

PIC simulations of laser interactions with solid targets PIC simulations of laser interactions with solid targets J. Limpouch, O. Klimo Czech Technical University in Prague, Faculty of Nuclear Sciences and Physical Engineering, Břehová 7, Praha 1, Czech Republic

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

GA A25842 STUDY OF NON-LTE SPECTRA DEPENDENCE ON TARGET MASS IN SHORT PULSE LASER EXPERIMENTS

GA A25842 STUDY OF NON-LTE SPECTRA DEPENDENCE ON TARGET MASS IN SHORT PULSE LASER EXPERIMENTS GA A25842 STUDY OF NON-LTE SPECTRA DEPENDENCE ON TARGET MASS IN SHORT PULSE LASER EXPERIMENTS by C.A. BACK, P. AUDBERT, S.D. BATON, S.BASTIANI-CECCOTTI, P. GUILLOU, L. LECHERBOURG, B. BARBREL, E. GAUCI,

More information

Figure 1: The current target chamber and beam diagnostic station for the NDCX-I beamline will be used during commissioning of NDCX-II in 2012

Figure 1: The current target chamber and beam diagnostic station for the NDCX-I beamline will be used during commissioning of NDCX-II in 2012 Progress in U.S. Heavy Ion Fusion Research* IAEA-10 IFE/P6-06 B G Logan, J J Barnard, F M Bieniosek, R H Cohen, R C Davidson, P C Efthimion, A Friedman, E P Gilson, L R Grisham, D P Grote, E Henestroza,

More information

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS*

MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* MONTE CARLO SIMULATION OF RADIATION TRAPPING IN ELECTRODELESS LAMPS: A STUDY OF COLLISIONAL BROADENERS* Kapil Rajaraman** and Mark J. Kushner*** **Department of Physics ***Department of Electrical and

More information

Beam diagnostics: Alignment of the beam to prevent for activation. Accelerator physics: using these sensitive particle detectors.

Beam diagnostics: Alignment of the beam to prevent for activation. Accelerator physics: using these sensitive particle detectors. Beam Loss Monitors When energetic beam particles penetrates matter, secondary particles are emitted: this can be e, γ, protons, neutrons, excited nuclei, fragmented nuclei... Spontaneous radiation and

More information

Numerical Modeling of Radiative Kinetic Plasmas

Numerical Modeling of Radiative Kinetic Plasmas 2014 US-Japan JIFT Workshop on Progress in kinetic plasma simulations Oct.31-Nov.1, 2014, Salon F, New Orleans Marriott, New Orleans, LA, U.S.A Numerical Modeling of Radiative Kinetic Plasmas T. Johzaki

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Simulations of the plasma dynamics in high-current ion diodes

Simulations of the plasma dynamics in high-current ion diodes Nuclear Instruments and Methods in Physics Research A 415 (1998) 473 477 Simulations of the plasma dynamics in high-current ion diodes O. Boine-Frankenheim *, T.D. Pointon, T.A. Mehlhorn Gesellschaft fu(

More information

Generation of surface electrons in femtosecond laser-solid interactions

Generation of surface electrons in femtosecond laser-solid interactions Science in China: Series G Physics, Mechanics & Astronomy 2006 Vol.49 No.3 335 340 335 DOI: 10.1007/s11433-006-0335-5 Generation of surface electrons in femtosecond laser-solid interactions XU Miaohua

More information

Proton acceleration in thin foils with micro-structured surface

Proton acceleration in thin foils with micro-structured surface Proton acceleration in thin foils with micro-structured surface J. Pšikal*, O. Klimo*, J. Limpouch*, J. Proška, F. Novotný, J. Vyskočil Czech Technical University in Prague, Faculty of Nuclear Sciences

More information

Pulsed Laser Deposition; laser ablation. Final apresentation for TPPM Diogo Canavarro, MEFT

Pulsed Laser Deposition; laser ablation. Final apresentation for TPPM Diogo Canavarro, MEFT Pulsed Laser Deposition; laser ablation Final apresentation for TPPM Diogo Canavarro, 56112 MEFT Summary What is PLD? What is the purpose of PLD? How PLD works? Experimental Setup Processes in PLD The

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Richard Miles and Arthur Dogariu Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Workshop on Oxygen Plasma Kinetics Sept 20, 2016 Financial support: ONR and MetroLaser

More information

Investigation of Water Fragments

Investigation of Water Fragments National Nuclear Research University MEPhI Federal State Autonomous Institution for Higher Education 31 Kashirskoe shosse 115409 Moscow, Russia VAT registration number, 7724068140 REG. No 1037739366477

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

LABORATORY SIMULATION OF MAGNETOSPHERIC PLASMA SHOCKS

LABORATORY SIMULATION OF MAGNETOSPHERIC PLASMA SHOCKS LABORATORY SIMULATION OF MAGNETOSPHERIC PLASMA SHOCKS R. PRESURA 1,V.V.IVANOV 1,Y.SENTOKU 1,V.I. SOTNIKOV 1,P.J. LACA 1,N.LE GALLOUDEC 1,A.KEMP 1,R.MANCINI 1,H.RUHL 1, A.L. ASTANOVITSKIY 1,T.E. COWAN 1,T.DITMIRE

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

Influence of spot size on propagation dynamics of laser-produced tin plasma

Influence of spot size on propagation dynamics of laser-produced tin plasma JOURNAL OF APPLIED PHYSICS 102, 123306 2007 Influence of spot size on propagation dynamics of laser-produced tin plasma S. S. Harilal a Center for Energy Research, University of California San Diego, La

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

EMISSION SPECTRA OF WARM DENSE MATTER PLASMAS

EMISSION SPECTRA OF WARM DENSE MATTER PLASMAS EMSION SPECTRA OF WARM DENSE MATTER PLASMAS G. Miloshevsky ξ, A. Hassanein Center for Materials under Extreme Environment, School of Nuclear Engineering, Purdue University, West Lafayette, IN 47907, USA

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Initiation of nuclear reactions under laser irradiation of Au nanoparticles in the aqueous solution of Uranium salt. A.V. Simakin and G.A.

Initiation of nuclear reactions under laser irradiation of Au nanoparticles in the aqueous solution of Uranium salt. A.V. Simakin and G.A. Initiation of nuclear reactions under laser irradiation of Au nanoparticles in the aqueous solution of Uranium salt A.V. Simakin and G.A. Shafeev Wave Research Center of A.M. Prokhorov General Physics

More information

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics Mass spectrometry (MS) is the technique for protein identification and analysis by production of charged molecular species in vacuum, and their separation by magnetic and electric fields based on mass

More information

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas Prof. dr hab. inż. Tadeusz Pisarczyk Institute of Plasma Physics and Laser Microfusion. 23 Hery St., 01-489 Warsaw. Warsaw, November 21, 2018r. Introduction: Review of the doctoral dissertation of Ismail

More information

Short-wavelength out-of-band EUV emission from Sn laser-produced plasma

Short-wavelength out-of-band EUV emission from Sn laser-produced plasma Journal of Physics B: Atomic, Molecular and Optical Physics PAPER OPEN ACCESS Short-wavelength out-of-band EUV emission from Sn laser-produced plasma To cite this article: F Torretti et al 2018 J. Phys.

More information

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation Journal of Physics: Conference Series PAPER OPEN ACCESS Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation To cite this article: Yoshi Hirooka et al 2016 J. Phys.:

More information