TechARENA: Advanced Materials Session2

Size: px
Start display at page:

Download "TechARENA: Advanced Materials Session2"

Transcription

1 TechARENA: Advanced Materials Session2 J. Dekoster Program Manager imec, Leuven, Belgium Biography Johan Dekoster received the M.S. degree in Exact Sciences (Physics) in 1988 from the KU Leuven, Belgium. In 1993 he received the Ph.D. degree (Physics), also from the KU Leuven. From 1993 till 1999 he held postdoctoral fellowships from the Research Council and the Fund for Scientific Research at the Institute of Nuclear and Radiation Physics of the KU Leuven. In 1999 he joined the OTN business unit of Siemens. He was project leader for several development projects for data, voice, video and LAN. In 2007 he became program manager OTN at Nokia Siemens Networks. In April 2008 he joined imec as R&D manager of the Epitaxy group with responsibility on epitaxial deposition of group IV and III-V semiconductor materials. Since November 2012 he is program manager of the equipment and materials suppliers collaborations within the Semiconductor Technology and Systems unit at imec. Ligands as Surfactants in CVD and ALD: Making and Modifying Metal Surfaces S. Barry Professor Carleton University, Chemistry, Ottawa, Canada Controlled deposition of metal films is important for many interesting applications, including microelectronics, as well as substrates for graphene fabrication, SERS and photochemistry. Deposition from the vapour phase offers superior control over purity and size of deposited metal structures, including films. However, shape control from the vapour phase remains a challenge. Precursor compounds for chemical vapour deposition (CVD) and atomic layer deposition (ALD) can influence the shape of deposited metal structures and surfaces: computational studies show that a coordinative ligand can dissociate from the precursor and act as a surfactant,

2 controlling saturation of the surface and nucleation of metal-bearing moieties. When applied in practice, a deposited metal surface can be controlled by judicious choice of surfactant: saturated N-heterocyclic carbenes are superior to phosphine when applied to a gold surface as surfactants, and produce smoother and more well-controlled crystalline surfaces. This concept can be extended to using free bases in the gas phase to limit surface growth and nucleation. Indeed, tetrahydrothiophene was used recently to form monolithic, single gold features with up to 20 square micron (111) plateaus. The design and choice of coordination ligands in metal-containing precursor compounds will be discussed, with emphasis on their role as a surface-controlling surfactant. Examples of CVD and ALD deposited films will be given, and computational modeling of surface chemistry will be presented. Seán Barry is a Full Professor at Carleton University, Ottawa, Canada), where he works on precursor compounds for atomic layer deposition (ALD). Seán was trained in inorganic synthetic chemistry by Darrin Richeson (PhD, University of Ottawa, 1996), and spent three years working on chemical vapour deposition (CVD) and ALD precursors in the group of Roy Gordon (PDF, Harvard University, , ). He was instrumental in the design and synthesis of the well-known copper amidinate dimers that are presently used for copper CVD/ALD. He started in Carleton University in 2003 working on guanidinates of the group 13 metals (Al, Ga, In), and has recently studied guanidinates, iminopyrrolidinates and carbenes of the coinage metals (Cu, Ag, Au) for depositing thin films of these metals. His group works mainly on the mechanisms of thermal decomposition and thin film deposition, and have invented several novel characterization methods to better understand mechanism. He was previously the founder and Senior Scientific Advisor for Precision Molecular Design, a start-up company with GreenCentre Canada to commercialize precursors for atomic layer deposition. Seán is also the director of the Facility for Nanoscience, Surfaces, and Sensor Interfaces (FANSSI), which was commissioned in 2015 by a $1.9M Canadian infrastructure grant to study surface chemistry and ALD. He is recognized as a world expert in metal ALD and precursor design. He has long-standing and extensive industrial collaborations in ALD, including Applied Materials, Air Liquide, the Royal Canadian Mint, and BASF, to name a few. He has over 50 papers and 6 patents and patent applications in ALD precursor and process design:4 of the patents were established while an independent researcher at Carleton. In 2012, he was awarded a Marie Curie Fellowship as part of the EU-funded European Research Training Network ENHANCE to undertake metal ALD at the University of Helsinki, and in 2015 he was awarded a $295k Vinnova VINNMER Mobility grant to undertake CVD/ALD research in Sweden.

3 New materials for More Moore and More than Moore F. Martin Senior Scientist CEA-LETI-Minatec Campus, Univ Grenoble Alpes, Grenoble, France New processes and materials are emerging to provide possible solutions at the crossroad of MOS scaling and diversification of devices for More than Moore and IOT, including sensors, memories, power devices, RF and optoelectronic devices New materials like 2D dichalcogenides could provide solutions but promises can become reality if manageable processes can be implemented on large substrates. This talk will discuss our motivation to investigate green processes avoiding toxic or corrosive precursors and the solutions brought by organometallic chemistries to promote new ALD or MLD deposition processes. Results on Molecular Doping for semiconductor surface doping and MoS2 (WS2) synthesis by Molecular Layer Deposition will be presented and discussed in a more general frame, aiming to promote manageable solutions for smart materials and interfaces tuning towards sustainable and low cost IOT devices. François Martin, 59 years old, is senior scientist in the Thin Film Deposition team in LETI. He was involved in the past decades on Material research and Developments for Advanced CMOS and memories, including HighK/Metal gate stacks for advanced CMOS. He brought his contribution to the introduction of Atomic Layer Deposition for HighK deposition in LETI and participated to European projects on advanced FEOL developments. His field of interest for several years is the research in emerging materials and processes like Molecular Layer Doping and 2D Dichalcogenide deposition in relationship with academic skills dealing with organometallic chemistry, aiming for sustainable processes and materials availability in future nanoelectronic devices. He was also member of the Emerging Material Section of the ITRS ( ).

4 2D materials and heterostructures: Fabrication Technology and processes R. Sundaram Market Manager : Research and Emerging Technologies Oxford Instruments, Plasma Technology, Yatton, Bristol, United Kingdom Two dimensional materials are gaining a lot of interest as a possible strategy for pushing the scaling limits as well as for heterogeneous integration in micro/nano electronics. Fabrication of 2D materials and electronic devices require tailored solutions for the deposition and etch of these atomically thin materials. In this talk, I will present the technology and processes developed at Oxford Instruments for the atomic scale processing and quality control of 2D materials. This will include equipment and processing for deposition and etching of 2D materials by CVD, ALD and ALE as well as deposition of high k dielectrics on such materials for optimum device performance. In addition, the possibility of creating novel functional architectures by in situ deposition of 2D heterostructures will also be outlined. Dr Ravi Sundaram is the Market manger for emerging technologies at Oxford Instruments Plasma Technology. He has been involved in 2D materials research in several institutions such as EPFL, Switzerland, Max Planck Institute Stuttgart, Germany, IBM T.J Watson Research Labs, NY and Cambridge University where he worked on several aspects of graphene and 2D materials from synthesis, fundamental science to prototype applications in optoelectronics and electronics. He joined Oxford Instruments to lead and coordinate efforts towards 2D materials R&D and is now responsible for scoping out and developing a strategy for emerging technology markets.

5 From Bulk Gallium Nitride Material to Vertical GaN Devices T. Mikolajick Chair of Nanoelectronic Materials TU Dresden and Scientific Director of NaMlab ggmbh Namlab and TU Dresden, Dresden, Germany Due to its direct and large bandgap Gallium Nitride is an essential material for optoelectronics and holds many promises for power semiconductor devices. In the last few years several new devices based on GaN epitaxial grown on large silicon wafers with voltage classes up to 600V have appeared on the market. However, to unfold the full potential of the technology, a vertical device architecture would be highly desirable. This will require bulk Gallium Nitride wafers rather than producing the Gallium Nitride on a foreign substrate like Silicon, Silicon Carbide or Sapphire. The most promising route to an economic production of bulk Gallium Nitride substrate is Hydride Vapour Phase Epitaxy (HVPE) growth. In the first part of this talk, the HVPE growth will be described together with recent results on wafer doping during growth. In the second part a pseudo vertical MOSFET technology on Gallium Nitride substrates will be presented together with first device results. Bringing both aspects together can be the basis for a high performance power semiconductor Gallium Nitride technology of the future. Thomas Mikolajick received the Diploma (Dipl.-Ing.) in electrical engineering in 1990 and his phd in electrical engineering in 1996 both from the University Erlangen-Nuremberg. From 1996 till 2006 he was in the semiconductor industry developing CMOS processes, Ferroelectric Memories, emerging Non-volatile Memories and Flash Memories first at Siemens Semiconductor and later at Infineon. In late 2006 he moved back to academia taking over a professorship for material science of electron devices and sensors at the University of Technology Freiberg, and in October 2009 he started at Technische Universität Dresden were he now holds a professorship for Nanoelectronic Materials in combination with the position of scientific director at NaMLab GmbH. Since April 2010 he is the coordinator of the Cool Silicon Cluster in Dresden. Prof. Mikolajick is author or co-author of more than 300 publications in scientific journals or at scientific conferences and inventor or co-inventor of about 50 patents

6 Towards Graphene-based heterojunction devices for microelectronic applications G. Lippert Scientist IHP, Materials Research, Frankfurt / Oder, Germany The integration of dielectrics or semiconductors on Graphene is of critical importance for the development of a new generation of Graphene-based hetero-junction devices. The deposition of a high-k dielectric, like Al2O3 or HfO2 or of Silicon on top of Graphene is still challenging due to Graphene's lack of dangling bonds. In this paper, two strategies for the dielectric-graphene and Silicon-Graphene integration will be presented. Atomic Layer Deposition (ALD) or Atomic Vapour Deposition (AVD) processes have been explored to deposit high-κ dielectrics on Graphene with negligible damage of the Graphene layer. However, the nucleation of the dielectric film is hindered by the chemical inertness of the Graphene surface. Therefore, the initial ALD or AVD growth on Graphene requires a functionalization of the pristine Graphene surface with reactive groups. Plasma enhanced CVD (PECVD) is of interest for applications requiring low thermal budgets such as the back end of line (BEOL). However, high energy ion bombardment related to plasma exposure readily correlates with worsening of material properties. We demonstrate, that by the use of PECVD at a very high frequency of 140 MHz, thin a-si:h layers can be grown softly without changing the properties of the underlying Graphene significantly. The herein presented deposition strategies for dielectrics and semiconductors on Graphene surfaces demonstrate a significant progress towards a complete fabrication scheme of Graphene-based heterojunction devices in microelectronic technologies. Gunther Lippert is a senior scientist at IHP since more than 20 years. He has experiences with a lot of deposition techniques of metallic, semiconducting and insulating layers with the relevant diagnostics and the technological requirements of the films in context of microelectronic processing and application. In the frame of these activities he has a lot of practice to involve and evaluate the needed diagnostic like XPS, Raman, SIMS, TEM, XRD, SEM. He is focused on molecular beam epitaxy (MBE) since In 1995 he defended the PhD thesis to the topic Impurities in the Silicon Molecular Beam Epitaxy in Munich. With strong experience in MBE he had been started with van der Waals epitaxy on 2D substrates and the introduction of surfactants into the MBE process in the early 90 th. He was in the group, which introduced SiGe:C into the HBT technology of IHP and was engaged to find high-k material for industrial application. Since 2008 he is in the field of graphene and investigates the direct growth of graphene on insulator based on van der Waals epitaxy. He holds 21 international patents among others to SiGe:C and the GBT (graphene based

7 transistor) and is author or co-author of more than 80 papers.

8 Gate-All-Around MOSFETs based on Vertically Stacked Horizontal Nanowires H. Mertens Principal member of technical staff Imec, Leuven, Belgium Gate-all-around (GAA) transistors based on vertically stacked horizontal nanowires are promising candidates to replace FinFETs in future CMOS technology nodes. First of all, GAA devices provide optimal electrostatic control over semiconducting nanowire channels, which enables downscaling of the gate length to below the FinFET limit, while maintaining low offstate leakage [1]. Besides, horizontally oriented nanowires are an evolutionary extension of FinFETs, as opposed to vertical nanowires which require more disruptive technology and design changes [2]. Finally, stacking of nanowires is relevant for enhancing the drive current per footprint. Based on these considerations, GAA transistors made of vertically stacked horizontal nanowires have been included in the ITRS roadmap to reduce the contacted gate pitch, which is a key figure of merit for CMOS device density, to below ~40 nm in [3]. In the context of the industrial relevance described above, we present the fabrication of Si GAA devices on bulk Si substrates. Multiple processing aspects that are relevant for bulk CMOS technology definition are addressed, including stacking of 8-nm-diameter Si wires at 45-nm lateral pitch and 20-nm vertical pitch [4], and nanowire-compatible replacement metal gate processing in combination with threshold voltage tuning by dual work function metal integration [5]. Temperature restrictions for the formation of shallow trench isolation, and the interaction between N- and P-type junction formation on one hand and nanowire release processes on the other hand are discussed as well. [1] K. J. Kuhn, IEEE Trans. Electron Devices, vol. 59 (7), p.1813, (2012). [2] L. Liebmann et al., VLSI Tech. Dig., p.112 (2016). [3] The International Roadmap for Semiconductors (ITRS) 2.0, (2015). [4] H. Mertens et al., VLSI Tech. Dig., p.158 (2016). [5] H. Mertens et al., IEDM Tech. Dig., p.524 (2016). Hans Mertens is a principal member of technical staff at the international nanoelectronics research center Imec, based in Leuven Belgium. His main research interest is gate-all-around process integration based on group-iv semiconductors. Prior to joining Imec in 2012, he was a senior scientist at NXP Semiconductors, working on SiGe BiCMOS technologies for RF smallsignal applications. Hans Mertens holds a M.Sc. degree in Applied Physics from Eindhoven University of Technology, and a Ph.D. degree in Physics from Utrecht University, both in The Netherlands.

Towards Graphene-based heterojunction devices for microelectronic applications

Towards Graphene-based heterojunction devices for microelectronic applications Towards Graphene-based heterojunction devices for microelectronic applications IHP GmbH Leibniz (Innovations for High Performance Microelectronics) TU-Dresden/IHM (Institute of Semiconductors and Microsystems)

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES

2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES www.2dfun.eu 2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES FLAG-ERA JTC 2015 PROJECT KICK-OFF APRIL 13, 2016, BUDAPEST, HUNGARY, PROJECT COORDINATOR 2D MATERIALS GRAPHENE AND BEYOND VISION AND

More information

Materials Technology Session

Materials Technology Session Materials Technology Session J. Dekoster Program Manager imec, Leuven, Belgium Johan Dekoster received the M.S. degree in Exact Sciences (Physics) in 1988 from the KU Leuven, Belgium. In 1993 he received

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

Metrology is not a cost factor, but a profit center

Metrology is not a cost factor, but a profit center Edition February 2018 Semiconductor technology & processing Metrology is not a cost factor, but a profit center In recent years, remarkable progress has been made in the field of metrology, which is crucial

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Graphene Fundamentals and Emergent Applications

Graphene Fundamentals and Emergent Applications Graphene Fundamentals and Emergent Applications Jamie H. Warner Department of Materials University of Oxford Oxford, UK Franziska Schaffel Department of Materials University of Oxford Oxford, UK Alicja

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

TechARENA: Advanced Materials Session1

TechARENA: Advanced Materials Session1 TechARENA: Advanced Materials Session1 H. Sprey Manager External R&D and Cooperative Programs ASM International, Corporate Research Development, Leuven, Belgium Biography Hessel Sprey received his M.Sc.

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 Postdoctoral Research Associate Center for Low Energy Systems Technology (LEAST), Department of Electrical Engineering University of Notre Dame, B20

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Physicists in the Semiconductor Industry

Physicists in the Semiconductor Industry Physicists in the Semiconductor Industry P.M. Mooney IBM Research Division, T.J. Watson Research Center Yorktown Heights, NY 10598 APS March Meeting March 24, 2004 Thomas J. Watson Research Center 1 Outline

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

Manufacture of Nanostructures for Power Electronics Applications

Manufacture of Nanostructures for Power Electronics Applications Manufacture of Nanostructures for Power Electronics Applications Brian Hunt and Jon Lai Etamota Corporation 2672 E. Walnut St. Pasadena, CA 91107 APEC, Palm Springs Feb. 23rd, 2010 1 Background Outline

More information

Nanocarbon Technology for Development of Innovative Devices

Nanocarbon Technology for Development of Innovative Devices Nanocarbon Technology for Development of Innovative Devices Shintaro Sato Daiyu Kondo Shinichi Hirose Junichi Yamaguchi Graphene, a one-atom-thick honeycomb lattice made of carbon, and a carbon nanotube,

More information

GRAPHENE CONNECT. New Materials and Devices

GRAPHENE CONNECT. New Materials and Devices GRAPHENE CONNECT New Materials and Devices Thursday, 28 September 2017, 14:00-19:00 taking place during Graphene Week 2017 Divani Caravel Hotel, Room Horizon, Floor R.G. Athens, Greece Funded by the European

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Dr. Maria-Alexandra PAUN

Dr. Maria-Alexandra PAUN Performance comparison of Hall Effect Sensors obtained by regular bulk or SOI CMOS technology Dr. Maria-Alexandra PAUN Visiting Researcher High Voltage Microelectronics and Sensors (HVMS) Group, Department

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Maria-Alexandra PAUN, PhD

Maria-Alexandra PAUN, PhD On the modelisation of the main characteristics of SOI Hall cells by three-dimensional physical simulations Maria-Alexandra PAUN, PhD Visiting Researcher High Voltage Microelectronics and Sensors (HVMS)

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

FOCUS NANOSCIENCE AND NANOTECHNOLOGY SERIES. Silicon Carbide One-dimensional Nanostructures. Laurence Latu-Romain Maelig Ollivier

FOCUS NANOSCIENCE AND NANOTECHNOLOGY SERIES. Silicon Carbide One-dimensional Nanostructures. Laurence Latu-Romain Maelig Ollivier FOCUS NANOSCIENCE AND NANOTECHNOLOGY SERIES Silicon Carbide One-dimensional Nanostructures Laurence Latu-Romain Maelig Ollivier Silicon Carbide One-dimensional Nanostructures FOCUS SERIES Series Editor

More information

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups.

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. ICQNM 2014 Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. Cubic: Diamond structures: group IV semiconductors (Si, Ge, C), Cubic zinc-blende structures:

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the

materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the Nanotechnology is the creation of USEFUL/FUNCTIONAL materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the nanometer

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

DISTRIBUTION STATEMENT A

DISTRIBUTION STATEMENT A ASIAA/CCMS/IAMS/LeCosPA/NTU Phys Joint Colloquium 30 Oct 2012, NTU, Taipei, Taiwan Novel Materials and Devices for Millimeterwave and THz Applications Integrity Service Excellence Jim Hwang Program Officer,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Transistor and Integrated Circuits: History

Transistor and Integrated Circuits: History Course Objective Review and practice fundamental chemical engineering concepts (mass, energy, and momentum transport coupled with heterogeneous and homogeneous reactions and thermodynamics). Apply these

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of metal, dielectric, ferroelectric, and polymer thin films. We seek to understand and describe nucleation and growth

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Wire Based Flexible Piezoelectric Sensor for Structural Health Monitoring Applications

Wire Based Flexible Piezoelectric Sensor for Structural Health Monitoring Applications Wire Based Flexible Piezoelectric Sensor for Structural Health Monitoring Applications Amine El Kacimi 1, Emmanuelle Pauliac-Vaujour 1, Joël Eymery 2 1 University Grenoble Alpes, CEA, LETI, MINATEC Campus,

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

The Graphene Flagship: Status and Next Steps -Greek Participation-

The Graphene Flagship: Status and Next Steps -Greek Participation- The Graphene Flagship: Status and Next Steps -Greek Participation- Future and Emerging Technologies Flagships are Ambitious large-scale, science-driven, research initiatives. Visionary goal. Scientific

More information

Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials

Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials Prof. Eric M. Vogel, Prof. M. Shofner, Brian Beatty Materials Science & Engineering Trends in Electronics Internet of things

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

NANOSIZED- & NANO-STRUCTURED MATERIALS MADE by NATURE and MAN: FUNDAMENTALS AND APPLICATIONS

NANOSIZED- & NANO-STRUCTURED MATERIALS MADE by NATURE and MAN: FUNDAMENTALS AND APPLICATIONS 2 8 & 2 9 J U N E, 2 0 1 5 M U N I C H - G E R M A N Y NANOSIZED- & NANO-STRUCTURED MATERIALS MADE by NATURE and MAN: FUNDAMENTALS AND APPLICATIONS INSTRUCTOR: PROF. DR. PROF. H.C. DR. H.C. STAN VEPREK

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

Modeling of MOSFET with Different Materials

Modeling of MOSFET with Different Materials Modeling of MOSFET with Different Materials Apurva Choubey, Rajesh Nema Abstract This paper provides the designing of mosfet with different materials and compare which material is better for the designing.

More information

A Graphene-based Hot Electron Transistor

A Graphene-based Hot Electron Transistor This work has been published in Nano Letters (ACS Publications) Nano Lett., 2013, 13 (4), pp 1435 1439 DOI: 10.1021/nl304305x A Graphene-based Hot Electron Transistor Sam Vaziri 1,#, Grzegorz Lupina 2,#,

More information

III-V nanostructured materials synthesized by MBE droplet epitaxy

III-V nanostructured materials synthesized by MBE droplet epitaxy III-V nanostructured materials synthesized by MBE droplet epitaxy E.A. Anyebe 1, C. C. Yu 1, Q. Zhuang 1,*, B. Robinson 1, O Kolosov 1, V. Fal ko 1, R. Young 1, M Hayne 1, A. Sanchez 2, D. Hynes 2, and

More information

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Syllabus Advanced Nano Materials Semiconductor Physics and Devices Textbook Donald A. Neamen (McGraw-Hill) Semiconductor Physics and Devices Seong Jun Kang Department of Advanced Materials Engineering

More information

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1065 Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes Hyunsoo Kim, Seong-Ju Park, and Hyunsang Hwang Abstract

More information

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research Graphene Prepared for Solid State Physics II Pr Dagotto Spring 2009 Laurene Tetard 03/23/09 Overview Carbon in all its forms Background & Discovery Fabrication Important properties Overview of current

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

III-V field-effect transistors for low power digital logic applications

III-V field-effect transistors for low power digital logic applications Microelectronic Engineering 84 (2007) 2133 2137 www.elsevier.com/locate/mee III-V field-effect transistors for low power digital logic applications Suman Datta * Components Research, Technology Manufacturing

More information

1. Introduction : 1.2 New properties:

1. Introduction : 1.2 New properties: Nanodevices In Electronics Rakesh Kasaraneni(PID : 4672248) Department of Electrical Engineering EEL 5425 Introduction to Nanotechnology Florida International University Abstract : This paper describes

More information

JFET/MESFET. JFET: small gate current (reverse leakage of the gate-to-channel junction) More gate leakage than MOSFET, less than bipolar.

JFET/MESFET. JFET: small gate current (reverse leakage of the gate-to-channel junction) More gate leakage than MOSFET, less than bipolar. JFET/MESFET JFET: small gate current (reverse leakage of the gate-to-channel junction) More gate leakage than MOSFET, less than bipolar. JFET has higher transconductance than the MOSFET. Used in low-noise,

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

Supplementary Information for

Supplementary Information for Supplementary Information for Highly Stable, Dual-Gated MoS 2 Transistors Encapsulated by Hexagonal Boron Nitride with Gate-Controllable Contact Resistance and Threshold Voltage Gwan-Hyoung Lee, Xu Cui,

More information

This is the author s final accepted version.

This is the author s final accepted version. Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson- Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Thermal Management In Microelectronic Circuits

Thermal Management In Microelectronic Circuits Thermal Management In Microelectronic Circuits Prakash Bhartia*, C.M., Ph.D., F.R.S.C. Natel Engineering Co., Inc. 9340 Owensmouth Avenue Chatsworth, CA 91311-6915 Phone: (818) 734-6500 www.natelengr.com

More information

Quantum Technology: Supplying the Picks and Shovels

Quantum Technology: Supplying the Picks and Shovels Quantum Technology: Supplying the Picks and Shovels Dr John Burgoyne Quantum Control Engineering: Mathematical Solutions for Industry Open for Business Event 7 th August 2014, 12.30-17.00, Isaac Newton

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

EXPERIENCES FROM THE FIRST YEARS. Jari Kinaret Chalmers University of Technology Sweden

EXPERIENCES FROM THE FIRST YEARS. Jari Kinaret Chalmers University of Technology Sweden EXPERIENCES FROM THE FIRST YEARS Jari Kinaret Chalmers University of Technology Sweden FET Flagships FET Flagships are ambitious large-scale, science-driven, research initiatives that aim to achieve a

More information

Molecular Electronics For Fun and Profit(?)

Molecular Electronics For Fun and Profit(?) Molecular Electronics For Fun and Profit(?) Prof. Geoffrey Hutchison Department of Chemistry University of Pittsburgh geoffh@pitt.edu July 22, 2009 http://hutchison.chem.pitt.edu Moore s Law: Transistor

More information

MECHANICAL stress induced by shallow trench isolation

MECHANICAL stress induced by shallow trench isolation 1558 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 55, NO. 6, JUNE 2008 Shallow-Trench-Isolation (STI)-Induced Mechanical-Stress-Related Kink-Effect Behaviors of 40-nm PD SOI NMOS Device V. C. Su, James

More information

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor From nanophysics research labs to cell phones Dr. András Halbritter Department of Physics associate professor Curriculum Vitae Birth: 1976. High-school graduation: 1994. Master degree: 1999. PhD: 2003.

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition 495 10.1149/1.2986806 The Electrochemical Society Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition Shotaro Takeuchi, Ngoc Duy Nguyen, Frederik Leys,

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

SYLLABUS FINDING NANO Syllabus NanoSCI DISCOVERING NANOTECHNOLOGY AND CULTURE IN GERMANY

SYLLABUS FINDING NANO Syllabus NanoSCI DISCOVERING NANOTECHNOLOGY AND CULTURE IN GERMANY 1. Syllabus NanoSCI Course title: NanoSCI - Electronic Properties of Nanoengineered Materials Catalog description: Physics and technology of nanoengineered materials and devices. Semiconductor nanostructures.

More information

CHAPTER 3: Epitaxy. City University of Hong Kong

CHAPTER 3: Epitaxy. City University of Hong Kong 1 CHAPTER 3: Epitaxy Epitaxy (epi means "upon" and taxis means "ordered") is a term applied to processes used to grow a thin crystalline layer on a crystalline substrate. The seed crystal in epitaxial

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

Vienna Doctoral School in Physics

Vienna Doctoral School in Physics Vienna Doctoral School in Physics You? Hearings in Vienna: 22& 23 February 2018 Aerosol Physics Prof. Bernadett Weinzierl Atmospheric and Aerosol Physics Position 1 Airborne measurements of the complex

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction In our planet carbon forms the basis of all organic molecules which makes it the most important element of life. It is present in over 95% of the known chemical compounds overall

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

There s Plenty of Room at the Bottom and at the Top

There s Plenty of Room at the Bottom and at the Top 14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf There s Plenty of Room at the Bottom and at the Top Tsu Jae King Liu Department

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Call for Papers. 3 Steps to Contribute a Presentation. Submit. Submission Deadline: June 26 (Tue.), 2018 (17:00, JST)

Call for Papers. 3 Steps to Contribute a Presentation. Submit. Submission Deadline: June 26 (Tue.), 2018 (17:00, JST) Call for Papers 3 Steps to Contribute a Presentation Join JSAP Submit Register Regular Membership Admission Fee: 10,000 JPY Annual Due*: 10,000 JPY *Annual due will be waived for the first year. Graduate

More information

Research of Germanium on Insulator

Research of Germanium on Insulator 1 Research of Germanium on Insulator Haiyan Jin, visiting scholar Collaborators: Eric Liu and Prof.Nathan Cheung EECS, UC Berkeley The work is supported by the UC Discovery FLCC and IMPACT programs 2 Institute

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

What are Carbon Nanotubes? What are they good for? Why are we interested in them?

What are Carbon Nanotubes? What are they good for? Why are we interested in them? Growth and Properties of Multiwalled Carbon Nanotubes What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? - our vision Where do we stand - our

More information

Ferroelectric HfO 2 Thin Films

Ferroelectric HfO 2 Thin Films Ferroelectric HfO 2 Thin Films May 12 th, 2015 JACKSON ANDERSON ELECTRICAL AND MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Outline Introduction Background Project Objectives Experimental

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information