Experimental Verification of a Timing Measurement Circuit With Self-Calibration

Size: px
Start display at page:

Download "Experimental Verification of a Timing Measurement Circuit With Self-Calibration"

Transcription

1 19 th IEEE IMS3TW, Porto Alegre, Brazil Sept. 17, 2014 Experimental Verification of a Timing Measurement Circuit With Self-Calibration Kateshi Chujyo, Daiki Hirabayashi, Kentaroh Katoh Conbing Li, Yutaroh Kobayashi, Koshi Sato Haruo Kobayashi Gunma University, Tsuruoka National College of Tech Hikari Science Supported by STARC Gunma University Kobayashi Lab 1

2 Outline Research Background TDC Circuit and Problems Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 2

3 Outline Research Background TDC Circuit and Problems Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 3

4 Research Background Advanced CMOS VLSI Voltage domain Low power-supply voltages Fast switching speeds Time domain Supply voltage Fine CMOS time Fine CMOS time A Time-to-Digital Converter (TDC) provides a digital output proportional to the time between two clock transitions. The TDC is a key component in time-domain analog circuits, (e.g. Sensor Interfaces, All-Digital PLLs, ADCs,.. ) 4

5 Research Objective Validate TDC linearity self-calibration with histogram method All-digital implementation Suitable for fine CMOS Experimentally validate design with FPGA 5

6 Outline Research Background TDC Circuit and Problems Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 6

7 Flash-type TDC T Dout=2 Digital output (Dout) proportional to time difference between rising edges (T) Time resolution τ START STOP τ τ τ τ τ τ T D 0 = 1 D 1 = 1 D 2 = 0 D 3 = 0 Dout=1 7

8 Delay Cell Variation Inside TDC Circuit Delay cell variation Δτk t t t t t +Dt 1 +Dt 2 +Dt 3 +Dt 4 +Dt 5 D Q D Q D Q D Q TDC nonlinearity D1 D2 D3 D4 T (a) Without delay variation T (b) With delay variation 8

9 Random Variation among Delay Cells Delay τ variation Relative variation TDC nonlinearity Absolute(average value) variation TDC input range & time resolution Focus on Relative variation here. 9

10 Outline Research Background TDC Circuit and Problems Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 10

11 Research Objective (revisited) TDC linearity self-calibration with histogram Dout(0)=1 Dout(1)=3 Dout(2)=5 Dout(3)=8 Calibration Dout(0)=0.3 Dout(1)=2.8 Dout(2)=4.5 Dout(3)=7.3 Corrected based on delay variation evaluation Analog FPGA(PSoC) implementation, evaluation 11

12 TDC with Self-Calibration 24 START M U X t 1 t 2 t 3 t 4 t 23 t 24 Test mode 0 or 1 D Q D Q D Q D Q D Q D Q D Q STOP Encoder Dout Histogram Engine To histogram database 12

13 Normal Operation Mode START M U X t 1 t 2 t 3 t 4 t 23 t 24 Test mode 0 or 1 D Q D Q D Q D Q D Q D Q D Q STOP Encoder Dout 13

14 Self-Calibration Mode START f 1 M U X t 1 t 2 Ring oscillator t 3 t 4 t 23 t 24 Test mode 0 or 1 D Q D Q D Q D Q D Q D Q D Q STOP f 2 External clock Encoder Histogram Engine To histogram database 14

15 # of 1 output Self-Calibration Self-calibration mode START, STOP signals are NOT synchronized Code Histogram data in all bins will be equal, after collection of a sufficiently large number of data, if the TDC has perfect linearity 15

16 Principle of TDC Linearity Calibration START (ring oscillator) and STOP signals are asynchronous. f 1 START M U X t 1 t 2 t 3 t 4 t 23 t 24 Test mode 0 or 1 D Q D Q D Q D Q D Q D Q D Q STOP Probability of digital code for large delay is high. Probability of digital code for small delay is low. 16

17 Self-Calibration Histogram TDC is non-linear delay t Dt 2 t Dt 3 t Dt 4 t Dt 1 D Q D Q D Q Dt 2 Dt 3 Dt 5 Each bin of histogram t Dt 4 Varies with corresponding delay value TDC digital output 17

18 Principle of Self-Calibration 1 Histogram 2 n Nonlinear TDC INL calculation 3 n TDC digital output Dout f (T) 4 Histogram Histogram of ideally T Linearized by inverse function Linear TDC T 18 TDC digital output

19 # of 1 output # of 1 output Simulation Result of Self-Calibration 2.5 x 106 before calibration 2.5 x 106 after calibration MATLAB code Sampling points 28,848,432 t1 60~69ps t 2 10ns code Histogram for each bin is the same when the TDC is linear. 19

20 Outline Research Background TDC Circuit and Problems Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 20

21 Implementation of TDC with Self-Calibration Programmable System-on-Chip (PSoC) 5LP and external components Variable capacitor array for individual cell delays Capacitor and var. resistor for loop (ring) delay 21

22 TDC Control Circuit in PSoC Generation of START, STOP signals for test purpose Reference clock PWM for self-calibration stop signal Control register for control mode change 22

23 START, STOP Generation for TDC Evaluation 48MHz reference clock inside PSoC CLKref 48MHz Reference Clock Program control Divide reference clock Timing difference of N x 20.8ns Divided clock (48/N) MHz Precise timing signal generation N x 20.8ns START STOP START STOP Use for TDC linearity evaluation

24 TDC and Ring Oscillator Circuit To encoder Each delay 5.6kΩ 0~120pF To encoder To encoder 24

25 Encoder Circuit Thermometer code to binary code Thermometer code Detection of transition from 1 to 0 Encode to binary code 25

26 Data Processing Software C program Data were transferred via USB to a PC and processed there. 26

27 Outline Research Background TDC Circuit and Problem Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 27

28 Histogram in Calibration Mode Histogram 2,500 Histogram data for experiment 2,000 1,500 1, Bin Sample #1 Total number of data: Average number of data for each bin:

29 TDC Measurement Without Calibration TDC Digital Output Non-linearity 系列 1 Sample # ,000 4,000 6,000 8,000 10,000 12,000 14,000 16,000 START, STOP Rising Edge Timing Difference [ns] 29

30 Actual Delay Data by Direct Measurement [ns] Sample #1 Delay Value Delay Cell 30

31 誤差 (%) Correlation Between Histogram and Delay Histogram and Delay Measurement Results Delay Cell Error between Delay and Histogram (%) 13% Delay Histogram Delay Cell 31

32 TDC Characteristics Before and After Calibration Digital output Sample #1 [ns] Time difference between two clock rising edges 32

33 INL Before and After Calibration (1) INL Sample #1 INL after calibration 57.5% INL before calibration % TDC digital output code

34 INL Before and After Calibration (2) Sample #2 Sample #3 Sample #4 Sample #5 34

35 Outline Research Background TDC Circuit and Problem Histogram Method Self-Calibration Analog FPGA Implementation Measurement Results Conclusions 35

36 Conclusion A TDC with self-calibration was implemented using an analog FPGA. Measurement results showed Linearity improved by self-calibration. All-digital implementation is possible. Suitable for fine CMOS BOST for timing signal test 36

37 Altera FPGA (Full digital Implementation) TDC with histogram method self-calibration Delay cell array was implemented with a CMOS inverter chain. 37

38 INL INL Before and After Self-Calibration Measurement results Before calibration After calibration TDC digital output code 38

39 19 th IEEE IMS3TW, Porto Alegre, Brazil Sept. 17, 2014 Thank you for kind attention Time continues indefinitely. We are analog design & test researchers, but we appreciate digital technology. Gunma University Kobayashi Lab 39

40 40/38

A Gray Code Based Time-to-Digital Converter Architecture and its FPGA Implementation

A Gray Code Based Time-to-Digital Converter Architecture and its FPGA Implementation A Gray Code Based Time-to-Digital Converter Architecture and its FPGA Implementation Congbing Li Haruo Kobayashi Gunma University Gunma University Kobayashi Lab Outline Research Objective & Background

More information

Analog / Mixed-Signal Circuit Design Based on Mathematics

Analog / Mixed-Signal Circuit Design Based on Mathematics 群馬大学 小林研究室 S23-1 Analog Circuits III 10:15-10:45 AM Oct. 28, 2016 (Fri) Analog / Mixed-Signal Circuit Design Based on Mathematics Haruo Kobayashi Haijun Lin Gunma University, Japan Xiamen University of

More information

ACCUMULATED JITTER MEASUREMENT OF STANDARD CLOCK OSCILLATORS

ACCUMULATED JITTER MEASUREMENT OF STANDARD CLOCK OSCILLATORS METROLOGY AND MEASUREMENT SYSTEMS Index 330930, ISSN 0860-89 www.metrology.pg.gda.pl ACCUMULATED JITTER MEASUREMENT OF STANDARD CLOCK OSCILLATORS Marek Zieliński, Marcin Kowalski, Robert Frankowski, Dariusz

More information

An Analysis of Stochastic Self-Calibration of TDC Using Two Ring Oscillators

An Analysis of Stochastic Self-Calibration of TDC Using Two Ring Oscillators The 22th IEEE Asian Test Symposium (ATS 13), Yilan, Taiwan, Nov. 20, 2013 An Analysis of Stochastic Self-Calibration of TDC Using Two Ring Oscillators Kentaroh Katoh, Yuta Doi, Satoshi Ito, Haruo Kobayashi,

More information

Successive approximation time-to-digital converter based on vernier charging method

Successive approximation time-to-digital converter based on vernier charging method LETTER Successive approximation time-to-digital converter based on vernier charging method Xin-Gang Wang 1, 2, Hai-Gang Yang 1a), Fei Wang 1, and Hui-He 2 1 Institute of Electronics, Chinese Academy of

More information

SERIALLY PROGRAMMABLE CLOCK SOURCE. Features

SERIALLY PROGRAMMABLE CLOCK SOURCE. Features DATASHEET ICS307-03 Description The ICS307-03 is a dynamic, serially programmable clock source which is flexible and takes up minimal board space. Output frequencies are programmed via a 3-wire SPI port.

More information

A 74.9 db SNDR 1 MHz Bandwidth 0.9 mw Delta-Sigma Time-to-Digital Converter Using Charge Pump and SAR ADC

A 74.9 db SNDR 1 MHz Bandwidth 0.9 mw Delta-Sigma Time-to-Digital Converter Using Charge Pump and SAR ADC A 74.9 db SNDR 1 MHz Bandwidth 0.9 mw Delta-Sigma Time-to-Digital Converter Using Charge Pump and SAR ADC Anugerah Firdauzi, Zule Xu, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology,

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

RC Circuits (32.9) Neil Alberding (SFU Physics) Physics 121: Optics, Electricity & Magnetism Spring / 1

RC Circuits (32.9) Neil Alberding (SFU Physics) Physics 121: Optics, Electricity & Magnetism Spring / 1 (32.9) We have only been discussing DC circuits so far. However, using a capacitor we can create an RC circuit. In this example, a capacitor is charged but the switch is open, meaning no current flows.

More information

Successive Approximation ADCs

Successive Approximation ADCs Department of Electrical and Computer Engineering Successive Approximation ADCs Vishal Saxena Vishal Saxena -1- Successive Approximation ADC Vishal Saxena -2- Data Converter Architectures Resolution [Bits]

More information

MM74C922 MM74C Key Encoder 20-Key Encoder

MM74C922 MM74C Key Encoder 20-Key Encoder MM74C922 MM74C923 16-Key Encoder 20-Key Encoder General Description The MM74C922 and MM74C923 CMOS key encoders provide all the necessary logic to fully encode an array of SPST switches. The keyboard scan

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics D3 - A/D converters» Error taxonomy» ADC parameters» Structures and taxonomy» Mixed converters» Origin of errors 12/05/2011-1

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M.

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience and IEEE Press, January 2003 Nov. 14,

More information

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1 Lab 3 Revisited Zener diodes R C 6.091 IAP 2008 Lecture 4 1 Lab 3 Revisited +15 Voltage regulators 555 timers 270 1N758 0.1uf 5K pot V+ V- 2N2222 0.1uf V o. V CC V Vin s = 5 V Vc V c Vs 1 e t = RC Threshold

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

NJU BIT PARALLEL TO SERIAL CONVERTER PRELIMINARY PACKAGE OUTLINE GENERAL DESCRIPTION PIN CONFIGURATION FEATURES BLOCK DIAGRAM

NJU BIT PARALLEL TO SERIAL CONVERTER PRELIMINARY PACKAGE OUTLINE GENERAL DESCRIPTION PIN CONFIGURATION FEATURES BLOCK DIAGRAM PRELIMINARY 11-BIT PARALLEL TO SERIAL CONVERTER GENERAL DESCRIPTION The NJU3754 is an 11-bit parallel to serial converter especially applying to MCU input port expander. It can operate from 2.7V to 5.5V.

More information

Digital or Analog. Digital style. Old school. or analog? q. digitally: q q 7 q 6 q 5 q 4 q 3 q 2 q 1 q 0. binary coded funnels

Digital or Analog. Digital style. Old school. or analog? q. digitally: q q 7 q 6 q 5 q 4 q 3 q 2 q 1 q 0. binary coded funnels Digital or Analog digitally: q 0 255 q 7 q 6 q 5 q 4 q 3 q 2 q 1 q 0 1 ½ ¼ 1/8 1/16 1/32 1/64 1/128 binary coded funnels or analog? q Volume flow [l/min] Digital style Old school Digital Analog converter?

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 17 EXAMINATION Subject Name: Digital Techniques Model Answer Subject Code: 17333 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given

More information

on candidate s understanding. 7) For programming language papers, credit may be given to any other program based on equivalent concept.

on candidate s understanding. 7) For programming language papers, credit may be given to any other program based on equivalent concept. WINTER 17 EXAMINATION Subject Name: Digital Techniques Model Answer Subject Code: 17333 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given

More information

Programmable Timer High-Performance Silicon-Gate CMOS

Programmable Timer High-Performance Silicon-Gate CMOS TECNICAL DATA Programmable Timer igh-performance Silicon-ate CMOS The IW1B programmable timer consists of a 16-stage binary counter, an oscillator that is controlled by external R-C components (2 resistors

More information

D/A Converters. D/A Examples

D/A Converters. D/A Examples D/A architecture examples Unit element Binary weighted Static performance Component matching Architectures Unit element Binary weighted Segmented Dynamic element matching Dynamic performance Glitches Reconstruction

More information

Research Article An FPGA-Integrated Time-to-Digital Converter Based on a Ring Oscillator for Programmable Delay Line Resolution Measurement

Research Article An FPGA-Integrated Time-to-Digital Converter Based on a Ring Oscillator for Programmable Delay Line Resolution Measurement Electrical and Computer Engineering, Article ID 230803, 5 pages http://dx.doi.org/10.1155/2014/230803 Research Article An FPGA-Integrated Time-to-Digital Converter Based on a Ring Oscillator for Programmable

More information

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter Timing diagram of a DFF Lab 3 Gate Timing difference timing for difference kind of gate, cost dependence (1) Setup Time = t2-t1 (2) Propagation

More information

Synthesis of Nonlinear Control of Switching Topologies of Buck-Boost Converter Using Fuzzy Logic on Field Programmable Gate Array (FPGA)

Synthesis of Nonlinear Control of Switching Topologies of Buck-Boost Converter Using Fuzzy Logic on Field Programmable Gate Array (FPGA) Journal of Intelligent Learning Systems and Applications, 2010, 2: 36-42 doi:10.4236/jilsa.2010.21005 Published Online February 2010 (http://www.scirp.org/journal/jilsa) Synthesis of Nonlinear Control

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Nyquist-Rate D/A Converters. D/A Converter Basics.

Nyquist-Rate D/A Converters. D/A Converter Basics. Nyquist-Rate D/A Converters David Johns and Ken Martin (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) slide 1 of 20 D/A Converter Basics. B in D/A is a digital signal (or word), B in b i B in = 2 1

More information

An Autonomous Nonvolatile Memory Latch

An Autonomous Nonvolatile Memory Latch Radiant Technologies, Inc. 2835D Pan American Freeway NE Albuquerque, NM 87107 Tel: 505-842-8007 Fax: 505-842-0366 e-mail: radiant@ferrodevices.com www.ferrodevices.com An Autonomous Nonvolatile Memory

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

Behavioral Model of Split Capacitor Array DAC for Use in SAR ADC Design

Behavioral Model of Split Capacitor Array DAC for Use in SAR ADC Design Behavioral Model of Split Capacitor Array DAC for Use in SAR ADC Design PC.SHILPA 1, M.H PRADEEP 2 P.G. Scholar (M. Tech), Dept. of ECE, BITIT College of Engineering, Anantapur Asst Professor, Dept. of

More information

HIGH RESOLUTIO TIME-I TERVAL MEASUREME T SYSTEMS APPLIED TO FLOW MEASUREME T. Sławomir Grzelak, Marcin Kowalski, Jarosław Czoków and Marek Zieliński

HIGH RESOLUTIO TIME-I TERVAL MEASUREME T SYSTEMS APPLIED TO FLOW MEASUREME T. Sławomir Grzelak, Marcin Kowalski, Jarosław Czoków and Marek Zieliński Metrol. Meas. Syst., Vol. XXI (2014), No. 1, pp. 77 84. METROLOGY A D MEASUREME T SYSTEMS Index 330930, ISS 0860-8229 www.metrology.pg.gda.pl HIGH RESOLUTIO TIME-I TERVAL MEASUREME T SYSTEMS APPLIED TO

More information

Lecture 340 Characterization of DACs and Current Scaling DACs (5/1/10) Page 340-1

Lecture 340 Characterization of DACs and Current Scaling DACs (5/1/10) Page 340-1 Lecture 34 Characterization of DACs and Current Scaling DACs (5//) Page 34 LECTURE 34 CHARACTERZATON OF DACS AND CURRENT SCALNG DACS LECTURE ORGANZATON Outline ntroduction Static characterization of DACs

More information

BEHAVIORAL MODEL OF SPLIT CAPACITOR ARRAY DAC FOR USE IN SAR ADC DESIGN

BEHAVIORAL MODEL OF SPLIT CAPACITOR ARRAY DAC FOR USE IN SAR ADC DESIGN BEHAVIORAL MODEL OF SPLIT CAPACITOR ARRAY DAC FOR USE IN SAR ADC DESIGN 1 P C.SHILPA, 2 M.H PRADEEP 1 P.G. Scholar (M. Tech), Dept. of ECE, BITIT College of Engineering, Anantapur 2 Asst Professor, Dept.

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Clock Strategy. VLSI System Design NCKUEE-KJLEE Clock Strategy Clocked Systems Latch and Flip-flops System timing Clock skew High speed latch design Phase locked loop ynamic logic Multiple phase Clock distribution Clocked Systems Most VLSI systems are

More information

Itanium TM Processor Clock Design

Itanium TM Processor Clock Design Itanium TM Processor Design Utpal Desai 1, Simon Tam, Robert Kim, Ji Zhang, Stefan Rusu Intel Corporation, M/S SC12-502, 2200 Mission College Blvd, Santa Clara, CA 95052 ABSTRACT The Itanium processor

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits

EE241 - Spring 2006 Advanced Digital Integrated Circuits EE241 - Spring 2006 Advanced Digital Integrated Circuits Lecture 20: Asynchronous & Synchronization Self-timed and Asynchronous Design Functions of clock in synchronous design 1) Acts as completion signal

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specificatio The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Miniature Electronically Trimmable Capacitor V DD. Maxim Integrated Products 1

Miniature Electronically Trimmable Capacitor V DD. Maxim Integrated Products 1 19-1948; Rev 1; 3/01 Miniature Electronically Trimmable Capacitor General Description The is a fine-line (geometry) electronically trimmable capacitor (FLECAP) programmable through a simple digital interface.

More information

PARALLEL DIGITAL-ANALOG CONVERTERS

PARALLEL DIGITAL-ANALOG CONVERTERS CMOS Analog IC Design Page 10.2-1 10.2 - PARALLEL DIGITAL-ANALOG CONVERTERS CLASSIFICATION OF DIGITAL-ANALOG CONVERTERS CMOS Analog IC Design Page 10.2-2 CURRENT SCALING DIGITAL-ANALOG CONVERTERS GENERAL

More information

First Results from the HiSCORE/Siberia WR setup. Plans for CTA. and. Martin Brückner (Humboldt-Univ. Berlin) Ralf Wischnewski (DESY)

First Results from the HiSCORE/Siberia WR setup. Plans for CTA. and. Martin Brückner (Humboldt-Univ. Berlin) Ralf Wischnewski (DESY) First Results from the HiSCORE/Siberia WR setup and Plans for CTA Martin Brückner (Humboldt-Univ. Berlin) Ralf Wischnewski (DESY) 7th White Rabbit workshop Madrid / Spain 28.11.2012 Outline > HiSCORE -

More information

SWITCHED CAPACITOR AMPLIFIERS

SWITCHED CAPACITOR AMPLIFIERS SWITCHED CAPACITOR AMPLIFIERS AO 0V 4. AO 0V 4.2 i Q AO 0V 4.3 Q AO 0V 4.4 Q i AO 0V 4.5 AO 0V 4.6 i Q AO 0V 4.7 Q AO 0V 4.8 i Q AO 0V 4.9 Simple amplifier First approach: A 0 = infinite. C : V C = V s

More information

An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits

An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits Jun Shiomi 1, Tohru Ishihara 1, Hidetoshi Onodera 1, Akihiko Shinya 2, Masaya Notomi 2 1 Graduate

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

EE 435. Lecture 38. DAC Design Current Steering DACs Charge Redistribution DACs ADC Design

EE 435. Lecture 38. DAC Design Current Steering DACs Charge Redistribution DACs ADC Design EE 435 Lecture 38 DAC Design Current Steering DACs Charge edistribution DACs ADC Design eview from last lecture Current Steering DACs X N Binary to Thermometer ndecoder (all ON) S S N- S N V EF F nherently

More information

Capacitive sensor supporting multiple touch switches using a resistor string

Capacitive sensor supporting multiple touch switches using a resistor string Turkish Journal of Electrical Engineering & Computer Sciences http:// journals. tubitak. gov. tr/ elektrik/ Research Article Turk J Elec Eng & Comp Sci (2015) 23: 1326 1337 c TÜBİTAK doi:10.3906/elk-1304-149

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VII Timing Issues in Digital Circuits (chapter 10 in textbook) GMU, ECE 680 Physical VLSI Design 1 Synchronous Timing (Fig. 10 1) CLK In R Combinational 1 R Logic 2

More information

RC Studies Relaxation Oscillator

RC Studies Relaxation Oscillator RC Studies Relaxation Oscillator Introduction A glass tube containing neon gas will give off its characteristic light when the voltage across the tube exceeds a certain value. The value corresponds to

More information

University of Toronto Faculty of Applied Science and Engineering Final Examination

University of Toronto Faculty of Applied Science and Engineering Final Examination University of Toronto Faculty of Applied Science and Engineering Final Examination ECE 24S - Digital Systems Examiner: Belinda Wang, Jianwen Zhu 2: - 4:3pm, April 26th, 24 Duration: 5 minutes (2.5 hours)

More information

EECS150 - Digital Design Lecture 15 SIFT2 + FSM. Recap and Outline

EECS150 - Digital Design Lecture 15 SIFT2 + FSM. Recap and Outline EECS150 - Digital Design Lecture 15 SIFT2 + FSM Oct. 15, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić Timing Issues January 2003 1 Synchronous Timing CLK In R Combinational 1 R Logic 2 C in C out Out 2

More information

Development of a Pulse Shape Discrimination IC

Development of a Pulse Shape Discrimination IC Development of a Pulse Shape Discrimination IC Michael Hall Southern Illinois University Edwardsville VLSI Design Research Laboratory October 20, 2006 Design Team Southern Illinois University Edwardsville:

More information

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI UNIT - III GATE LEVEL DESIGN P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents GATE LEVEL DESIGN : Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large

More information

PROBLEMS FOR EXPERIMENT ES: ESTIMATING A SECOND Solutions

PROBLEMS FOR EXPERIMENT ES: ESTIMATING A SECOND Solutions Massachusetts Institute of Technology Physics Department 801X Fall 2002 PROBLEMS FOR EXPERIMENT ES: ESTIMATING A SECOND Solutions Problem 1: Use your calculator or your favorite software program to compute

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Switched Capacitor Circuits I. Prof. Paul Hasler Georgia Institute of Technology

Switched Capacitor Circuits I. Prof. Paul Hasler Georgia Institute of Technology Switched Capacitor Circuits I Prof. Paul Hasler Georgia Institute of Technology Switched Capacitor Circuits Making a resistor using a capacitor and switches; therefore resistance is set by a digital clock

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques David Bordoley, Hieu guyen, Mani Soma Department of Electrical Engineering, University of Washington, Seattle WA {bordoley,

More information

Nyquist-Rate A/D Converters

Nyquist-Rate A/D Converters IsLab Analog Integrated ircuit Design AD-51 Nyquist-ate A/D onverters כ Kyungpook National University IsLab Analog Integrated ircuit Design AD-1 Nyquist-ate MOS A/D onverters Nyquist-rate : oversampling

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

Memorandum. September 21. To: Terry Cool, Project Manager From: Brian Lim, Lead Scientist Re: Progress Report on Temperature Controllers

Memorandum. September 21. To: Terry Cool, Project Manager From: Brian Lim, Lead Scientist Re: Progress Report on Temperature Controllers Memorandum September 21 To: Terry Cool, Project Manager From: Brian Lim, Lead Scientist Re: Progress Report on Temperature Controllers Summary I propose using an inexpensive NTC thermistor to maintain

More information

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1> Chapter 3 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 3 Chapter 3 :: Topics Introduction Latches and Flip-Flops Synchronous Logic Design Finite

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

The influence of parasitic capacitors on SAR ADC characteristics

The influence of parasitic capacitors on SAR ADC characteristics The influence of parasitic capacitors on SAR ADC characteristics DMITRY NORMANOV, DMITRY OSIPOV National Research Nuclear University MEPHI ASIC Lab 59, Moscow, Kashirskoe shosse, 3 RUSSIA simplere@ya.ru

More information

Lecture #4: Potpourri

Lecture #4: Potpourri Lecture #4: Potpourri Paul Hartke Phartke@stanford.edu Stanford EE183 April 15, 2002 Tutorial/Verilog Questions? Tutorial is mostly done, right? Due tonight at Midnight (Mon 4/14/02) Turn in copies of

More information

Digital Electronics. Part A

Digital Electronics. Part A Digital Electronics Final Examination Part A Winter 2004-05 Student Name: Date: lass Period: Total Points: Multiple hoice Directions: Select the letter of the response which best completes the item or

More information

Lab #10: Design of Finite State Machines

Lab #10: Design of Finite State Machines Lab #10: Design of Finite State Machines ECE/COE 0501 Date of Experiment: 3/1/2017 Report Written: 3/4/2017 Submission Date: 3/15/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose

More information

The Study of a Digital Equivalent Capacitor Circuit

The Study of a Digital Equivalent Capacitor Circuit Vol.7, No. (0), pp. http://dx.doi.org/0.7/ijhit.0.7..0 The Study of a Digital Equivalent Capacitor Circuit QingHua Shang, Wenjie Cao, hen Huang and Xiaoqing hang School of Electrical and Electronic Engineering

More information

AN1755 APPLICATION NOTE

AN1755 APPLICATION NOTE AN1755 APPLICATION NOTE A HIGH RESOLUTION / PRECISION THERMOMETER USING ST7 AND NE555 INTRODUCTION The goal of this application note is to present a realistic example of a thermometer using an ST7 and

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: J. Rose and

More information

Laboratory-on-chip based sensors Part 2: Capacitive measurements

Laboratory-on-chip based sensors Part 2: Capacitive measurements GBM8320 Dispositifs Médicaux Intelligents Laboratory-on-chip based sensors Part 2: Capacitive measurements Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim!!! http://www.cours.polymtl.ca/gbm8320/!

More information

24.2: Self-Biased, High-Bandwidth, Low-Jitter 1-to-4096 Multiplier Clock Generator PLL

24.2: Self-Biased, High-Bandwidth, Low-Jitter 1-to-4096 Multiplier Clock Generator PLL 24.2: Self-Biased, High-Bandwidth, Low-Jitter 1-to-4096 Multiplier Clock Generator PLL John G. Maneatis 1, Jaeha Kim 1, Iain McClatchie 1, Jay Maxey 2, Manjusha Shankaradas 2 True Circuits, Los Altos,

More information

Design Engineering MEng EXAMINATIONS 2016

Design Engineering MEng EXAMINATIONS 2016 IMPERIAL COLLEGE LONDON Design Engineering MEng EXAMINATIONS 2016 For Internal Students of the Imperial College of Science, Technology and Medicine This paper is also taken for the relevant examination

More information

HCF4035B 4 STAGE PARALLEL IN/PARALLEL OUT SHIFT REGISTER

HCF4035B 4 STAGE PARALLEL IN/PARALLEL OUT SHIFT REGISTER 4 STAGE PARALLEL IN/PARALLEL OUT SHIFT REGISTER 4 STAGE CLOCKED SHIFT OPERATION SYNCHRONOUS PARALLEL ENTRY ON ALL 4 STAGES JK INPUTS ON FIRST STAGE ASYNCHRONOUS TRUE/COMPLEMENT CONTROL ON ALL OUTPUTS STATIC

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Conversion from Linear to Circular Polarization and Stokes Parameters in FPGA. Koyel Das, Alan Roy, Gino Tuccari, Reinhard Keller

Conversion from Linear to Circular Polarization and Stokes Parameters in FPGA. Koyel Das, Alan Roy, Gino Tuccari, Reinhard Keller Conversion from Linear to Circular Polarization and Stokes Parameters in FPGA Koyel Das, Alan Roy, Gino Tuccari, Reinhard Keller Purpose 1. Conventionally, for the formation of circular polarization, analogue

More information

NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register

NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register Description: The NTE4035B is a 4 bit shift register in a 16 Lead DIP type package constructed with MOS P Channel an N Channel

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

Digital Electronics Final Examination. Part A

Digital Electronics Final Examination. Part A Digital Electronics Final Examination Part A Spring 2009 Student Name: Date: Class Period: Total Points: /50 Converted Score: /40 Page 1 of 13 Directions: This is a CLOSED BOOK/CLOSED NOTES exam. Select

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors

Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors Zhiheng Wei 1a), Keita Yasutomi ) and Shoji Kawahito b) 1 Graduate School of Science and Technology,

More information

Microelectronic Circuit Design 4th Edition Errata - Updated 4/4/14

Microelectronic Circuit Design 4th Edition Errata - Updated 4/4/14 Chapter Text # Inside back cover: Triode region equation should not be squared! i D = K n v GS "V TN " v & DS % ( v DS $ 2 ' Page 49, first exercise, second answer: -1.35 x 10 6 cm/s Page 58, last exercise,

More information

GR16. Technical Manual. 10 M SPS, 16-bit Analog Signal Digitizer up to 8MB FIFO

GR16. Technical Manual. 10 M SPS, 16-bit Analog Signal Digitizer up to 8MB FIFO GR M SPS, -bit Analog Signal Digitizer up to MB FIFO Technical Manual 90 th Street, Davis, CA 9, USA Tel: 0--00 Fax: 0--0 Email: sales@tern.com web site: www.tern.com COPYRIGHT GR, EL, Grabber, and A-Engine

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

RC Circuit Lab - Discovery PSI Physics Capacitors and Resistors

RC Circuit Lab - Discovery PSI Physics Capacitors and Resistors 1 RC Circuit Lab - Discovery PSI Physics Capacitors and Resistors Name Date Period Purpose The purpose of this lab will be to determine how capacitors behave in R-C circuits. The manner in which capacitors

More information

Available online at ScienceDirect. Procedia Engineering 174 (2017 )

Available online at  ScienceDirect. Procedia Engineering 174 (2017 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 174 (2017 ) 1257 1261 13th Global Congress on Manufacturing and Management, GCMM 2016 Research on the High resolution precision

More information

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits Chapter 3 Chapter 3 :: Topics igital esign and Computer Architecture, 2 nd Edition avid Money Harris and Sarah L. Harris Introduction Latches and Flip Flops Synchronous Logic esign Finite State Machines

More information

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1 Ch 9. Sequential Logic Technologies Technology Contemporary Logic Design Overview Basic Sequential Logic Components FSM Design with Counters FSM Design with Programmable Logic FSM Design with More Sophisticated

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each) Subject Code: 17333 Model Answer Page 1/ 27 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC6 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC6 74HC/HCT/HCU/HCMOS Logic Package Information The IC6 74HC/HCT/HCU/HCMOS

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information