:3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 "

Size: px
Start display at page:

Download ":3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 ""

Transcription

1 dvanced igital Logic esign EES :32 decoder/demultiplexer Teacher: Robert ick Office: L477 Tech Phone: \EN 5:32 ecoder Subs ys tem \Y31 \Y0 S4 S3 S2 S1 S0... \EN S4 S3 1G G 2 2 1Y3 1Y2 1Y1 1Y0 2Y3 2Y2 2Y1 2Y0 S2 S1 S0 S2 S1 S0 S2 S1 S0 G1 Y7 Y6 G2 G2 Y5 Y4 138 Y3 Y2 Y1 Y0 G1 Y7 G2 Y6 G2 Y5 Y4 138 Y3 Y2 Y1 Y0 G1 Y7 G2 Y6 G2 Y5 138 Y4 Y3 Y2 Y1 Y0 \Y S2 S1 S0 G1 Y7 G2 Y6 G2 Y5 138 Y4 Y3 Y2 Y1 Y \Y0 4 Robert ick dvanced igital Logic esign 5:32 decoder/demultiplexer implementation details Tally circuit example Why is G1 connected to an inverted active-low enable signal? Why are 2, 2, and 2G not connected on the part? What would happen if this design were used and the parts were TTL (I don t expect you to know this one already)? How about MOS? Given n-input circuit ount number of 1s in input Robert ick dvanced igital Logic esign 7 Robert ick dvanced igital Logic esign Tally circuit example Tally circuit example an implement using logic gates an implement using TGs 8 Robert ick dvanced igital Logic esign 9 Robert ick dvanced igital Logic esign Tally circuit example TG tally circuit an implement using TGs 10 Robert ick dvanced igital Logic esign 11 Robert ick dvanced igital Logic esign

2 TG tally circuit 4-input tally I 2 Two Robert ick dvanced igital Logic esign 13 Robert ick dvanced igital Logic esign 4-input tally 4-input tally I 2 Two I 2 Two I 2 I 2 Two Two 14 Robert ick dvanced igital Logic esign 15 Robert ick dvanced igital Logic esign TG tally circuit TG tally circuit I 2 Two I 2 Two 16 Robert ick dvanced igital Logic esign 17 Robert ick dvanced igital Logic esign,, and multi-level minimization Programmable read-only memories (P) Programmable read-only memories (P) Field-programmable gate arrays () Programmable devices for prototyping 2- array of binary values Input: ddress Output: Word 19 Robert ick dvanced igital Logic esign 20 Robert ick dvanced igital Logic esign

3 PROM Implementing logic with P +5V +5V +5V +5V ec n n 1 ddress i j Word Line 0011 Word Line 1010 it Lines F 0 = + + F 1 = + + F 2 = + + F 3 = Robert ick dvanced igital Logic esign 22 Robert ick dvanced igital Logic esign Truth table PROM suitable for implementing example F 3 F 2 F 1 F ddress Word 8 words x 4 bits outputs addresses 23 Robert ick dvanced igital Logic esign 24 Robert ick dvanced igital Logic esign Memory composition Memory composition 2764 EPROM 8K x VPP PGM O7 9 O6 8 O5 7 O4 6 O3 5 O2 4 O1 3 O S OE hip select 13 /OE 12:0 15:8 7: VP P + P GM O7 9 8 O6 O5 7 O4 6 5 O3 4 O2 O1 3 O S U3 OE VP P P GM O7 9 O6 8 O5 7 O4 6 O3 5 O2 4 O1 3 O S U1 OE 16K x VP P P GM O7 9 O6 8 O5 7 O4 6 O3 5 O2 4 O1 3 O S U2 OE 2764 VP P P GM O7 8 O6 7 O5 6 O4 5 O3 4 O2 3 O1 2 O0 1 0 S OE U0 25 Robert ick dvanced igital Logic esign 26 Robert ick dvanced igital Logic esign PL/PL vs. PROM PL/PL vs. PROM PL Takes advantage of don t-cares Good at random logic PL Good when product terms shared More area-efficient for certain designs OR-plane can t be programmed, usually no sharing PROM esign trivial an t take advantage of don t-cares rea-inefficient Product/sum terms not shared 27 Robert ick dvanced igital Logic esign 28 Robert ick dvanced igital Logic esign

4 FIRST FUSE NUMERS INREMENT S YNHRONOUS RE S E T (TO LL RE GIS TE RS ) R Q Q S P LOGI MROE LL P 5810 R 5811 LOGI MROE LL P 5812 R 5813 LOGI MROE LL P 5814 R 5815 LOGI R MROE LL P 5816 R P INREMEN T LOGI MROE L L P 5818 R 5819 LOGI MROE L L P 5820 R 5821 LOGI MROE L L P 5822 R 5823 LOGI MROE L L P 5824 R 5825 LOGI MROE L L P 5826 R S YNHRONOUS P RE S E T 13 Field-programmable gate arrays () ltera EPLs PLs gate equivalent ltera ctel Xilinx 100 1,000,000 gate equivalent Each has from 8 48 macrocells Macrocell behavior controlled with EPROM bits an be used sequentially Has synchronous and asynchronous modes 30 Robert ick dvanced igital Logic esign 31 Robert ick dvanced igital Logic esign ltera erasable programmable logic devices (EPLs) Multiple array matrix (MX) omposed of many macrocells 8 product term N/OR array with programmable MUXs N RRY Invert ontrol lk MUX Output Q MUX F/ MUX pad I/O Pin Seq. Logic lock ltera macrocells quite limited an t share product terms between macrocells Workaround: onnect together macrocells with programmable interconnect Programmable po larity Programmable feedback 32 Robert ick dvanced igital Logic esign 33 Robert ick dvanced igital Logic esign Multiple array matrix (MX) MX expander terms Logic rray locks (s imilar to macrocells ) L L L L P I L H L G L F L E Global Routing: Programmable Interconnect rray EPM5128: 8 Fixed Inputs 52 I/O Pins 8 Ls 16 Macrocells /L 32 Expanders /L I N P U T S P I Macrocell RRY Expander Product Term RRY I/O lock I/O Pad I/O Pad 34 Robert ick dvanced igital Logic esign 35 Robert ick dvanced igital Logic esign MX expander terms ltera 22V10 PL Macrocell P Term s Expander P Term s Expander product terms shared among all macrocells Robert ick dvanced igital Logic esign 37 Robert ick dvanced igital Logic esign

5 ltera 22V10 PL ctel programmable gate arrays Many product terms per output Latches and MUXs associated with outputs 22 IO pins 10 may be used as outputs Rows of programmable logic blocks Rows of interconnect olumns of interconnect ttach to rows using antifuses 38 Robert ick dvanced igital Logic esign 39 Robert ick dvanced igital Logic esign ctel programmable gate arrays ctel logic block S O S 0 S 1 Each combinational logic block has 8 inputs, 1 output No built-in sequential elements uild flip-flops using logic blocks :1 MUX 2:1 MUX 2:1 MUX Y S O Modified 4:1 MUX 40 Robert ick dvanced igital Logic esign 41 Robert ick dvanced igital Logic esign ctel logic block ctel programmable gate arrays R IO buffers, programming & test logic 2:1 MUX 2:1 MUX 2:1 MUX Q IO buf., prog. & test logic IO buf., prog. & test logic Logic modules Wiring tracks S ross-couple for sequential use IO buffers, programming & test logic 42 Robert ick dvanced igital Logic esign 43 Robert ick dvanced igital Logic esign ctel interconnect ntifuse routing Logic Module Horizontal Track nti fuse uild long routing lines from short segments Vertical Track 44 Robert ick dvanced igital Logic esign 45 Robert ick dvanced igital Logic esign

6 ctel routing example Xilinx logic cell arrays (Ls) Logic Module Logic Module Output Input Logic Module MOS static RM Run-time programmable Serial shift-register based programming Program on power-up (external PROM) Input Minimize number of antifuse hops for critical path 2-3 hops for most interconnections 46 Robert ick dvanced igital Logic esign 47 Robert ick dvanced igital Logic esign Xilinx L components Xilinx Ls IO IO IO IO IO onfigurable logic blocks (s) IO blocks (IOs) Wiring channels IO Wiring hanne ls IO IO 48 Robert ick dvanced igital Logic esign 49 Robert ick dvanced igital Logic esign Xilinx L features Xilinx L features Inputs Input variables Tri-state (high-z) enable bit for output Output clocks Output the input bit ontains internal flip-flops for inputs and outputs Fast and slow outputs available, e.g., 5 ns vs. 30 ns Slower option limits slew rate Lower noise Lower power consumption 50 Robert ick dvanced igital Logic esign 51 Robert ick dvanced igital Logic esign Xilinx L Xilinx Program ontrolled Options Enable Output Out irect In Registered In OUT INV TS OUTPUT S LEW PS S IVE INV S OURE RTE PULLUP MUX Q Output uffer R Q TTL or MOS Input uffer R Vcc P 2 flip-flops General function of 4 variables 2 non-general functions of 5 variables ertain special-case functions of 6 variables Global reset lock lock enable Independent input, IN locks Global Reset 52 Robert ick dvanced igital Logic esign 53 Robert ick dvanced igital Logic esign

7 Xilinx generator Res et IN E lock lock Enable om binational Generator F G R Q E R Q E X Y E of 5 Variables F G Two constrained functions of five variables 54 Robert ick dvanced igital Logic esign 55 Robert ick dvanced igital Logic esign generator generator E E of 4 Variables of 4 Variables Two arbitrary functions of four variables F G of 4 Variables of 4 Variables E ertain limited functions of 6 variables F G 56 Robert ick dvanced igital Logic esign 57 Robert ick dvanced igital Logic esign PRITY5 cost example 2-bit comparator cost example etermine whether the number of 1s is even or odd F = E Implement using 1 = or > GT = + + EQ = Only 1 required 58 Robert ick dvanced igital Logic esign 59 Robert ick dvanced igital Logic esign Majority cost example Large parity cost example High whenever n /2 outputs are high 5 input Majority ircuit 2 levels allow up to 25 inputs 9 Input Parity Logic 7 input Majority ircuit 60 Robert ick dvanced igital Logic esign 61 Robert ick dvanced igital Logic esign

8 4-bit adder cost example 4-bit adder cost example Full adder, 4 delays to final carry out (O), 4 s in omposition from 2 2-bit adders give 2 delay, 6 cost in out S 3 2 S 2 1 S 1 0 S 0 S 3 S 2 S 1 S 0 out 2 62 Robert ick dvanced igital Logic esign 63 Robert ick dvanced igital Logic esign Xilinx interconnect Xilinx interconnect Short direct connections Global long lines Horizontal/vertical long lines Switching matrix connections Hierarchical routing organization Some designs are constrained by routing resources an use logic s to control routing Substantial communication power consumption 64 Robert ick dvanced igital Logic esign 65 Robert ick dvanced igital Logic esign Xilinx interconnect Example Xilinx parts Interconnect irect onnections Global Long Line Ho rizontal/vertical Long Lines Switching Matrix onnections irect onnections Horizontal Long Line Horizontal Long Line I E X 0 K Y E R I E X 2 K Y E R Switching Matrix I E X 1 K Y E R I E X 3 K Y E R Parameter X4024 X3195 X2018 Number of FFs 2,560 1, Number of IOs Number of logic inputs per generators per Fast carry logic yes no no Number of logic outputs per RM bits 32, Vertical Long Lines Global Long Line 66 Robert ick dvanced igital Logic esign 67 Robert ick dvanced igital Logic esign ynamic reconfiguration FPG application examples Serial configuration slow Parallelize Full reconfiguration slow Partial reconfiguration Reconfiguration slow Use configuration cache Prototyping onstant coefficient multiplication irect HW implementation of problem instance, e.g., 3ST esign rule checking (R) 68 Robert ick dvanced igital Logic esign 69 Robert ick dvanced igital Logic esign

9 Prototype designs Programmable devices in prototyping iscrete packages Slow Error-prone ustom layout requires circuit fabrication Slow Expensive for small runs an t be changed Multiplexers (MUXs) and demultiplexers (MUXs) Wiring them up is tedious and error-prone Programmable array logic (PL) and programmable logic array (PL) Fuses blown, write-once Generic array logic (GL) Electrically reprogrammable 70 Robert ick dvanced igital Logic esign 71 Robert ick dvanced igital Logic esign Programmable devices in prototyping Programmable devices in prototyping Programmable read-only memories (P) Inefficient for implementing random logic Write-once Erasable programmable read-only memories (EP) an be erased Erasure slow (UV) Expensive package window Electrically erasable programmable read-only memories (EEP) Erasure fast Packaging less expensive Potential for in-circuit erasure Field-programmable gate arrays () are ideal If market size small, ship In-circuit programming practical 72 Robert ick dvanced igital Logic esign 73 Robert ick dvanced igital Logic esign emorgan s Law for MOS emorgan s Law for MOS ( + ) = () = + + = = + OR is the same as NN with complemented inputs N is the same as NOR with complemented inputs NN is the same as OR with complemented inputs NOR is the same as N with complemented inputs 75 Robert ick dvanced igital Logic esign 76 Robert ick dvanced igital Logic esign emorgan s Law for OR/NN emorgan s Law for N/NOR + ( ) + () ( + ) ( + ) Robert ick dvanced igital Logic esign 78 Robert ick dvanced igital Logic esign

10 N/OR NN/NOR N/OR NN/NOR N N OR 79 Robert ick dvanced igital Logic esign 80 Robert ick dvanced igital Logic esign N/OR NN/NOR N/OR NN/NOR NN N N NN NN OR NN NN NN NN NN NN 81 Robert ick dvanced igital Logic esign 82 Robert ick dvanced igital Logic esign N/OR/NOT network to NN/NOR = = = Review for midterm exam on Thursday Will post solutions to homework tonight Responsible for all reading, assignments, labs = 83 Robert ick dvanced igital Logic esign 85 Robert ick dvanced igital Logic esign Review Two-level transformations and minimization Multi-level minimization esign with various implementation technologies 86 Robert ick dvanced igital Logic esign

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1 Ch 9. Sequential Logic Technologies Technology Contemporary Logic Design Overview Basic Sequential Logic Components FSM Design with Counters FSM Design with Programmable Logic FSM Design with More Sophisticated

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Ch 4. Combinational Logic Technologies. IV - Combinational Logic Technologies Contemporary Logic Design 1

Ch 4. Combinational Logic Technologies. IV - Combinational Logic Technologies Contemporary Logic Design 1 h 4. ombinational Logic Technologies Technologies ontemporary Logic Design 1 History - From Switches to Integrated ircuits The underlying implementation technologies for digital systems Technologies ontemporary

More information

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1 Unit 9 Multiplexers, ecoders, and Programmable Logic evices Unit 9 Outline Multiplexers Three state buffers ecoders Encoders Read Only Memories (ROMs) Programmable logic devices ield Programmable Gate

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Chapter # 4: Programmable and Steering Logic

Chapter # 4: Programmable and Steering Logic hapter # : Programmable and teering Logic ontemporary Logic esign Randy H. Katz University of alifornia, erkeley June 993 No. - PLs and PLs Pre-fabricated building block of many N/OR gates (or NOR, NN)

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR TOPIS: Logic Logic Expressions Logic Gates Simplifying Logic Expressions Sequential Logic (Logic with a Memory) George oole (85-864), English mathematician, oolean logic used in digital computers since

More information

Overview. Programmable logic (PLAs & PALs ) Short-hand notation. Programming the wire connections

Overview. Programmable logic (PLAs & PALs ) Short-hand notation. Programming the wire connections Overview Programmable logic (PLs & PLs ) Last lecture "Switching-network" logic blocks Multiplexers/selectors emultiplexers/decoders Programmable logic devices (PLs) Regular structures for 2-level logic

More information

Digital Electronics Sequential Logic

Digital Electronics Sequential Logic /5/27 igital Electronics Sequential Logic r. I. J. Wassell Sequential Logic The logic circuits discussed previously are known as combinational, in that the output depends only on the condition of the latest

More information

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form S.E. Sem. III [EXTC] Digital System Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80 Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form [5] (i) (42) 10 (ii) (17)

More information

Switching Circuits & Logic Design

Switching Circuits & Logic Design Switching ircuits & Logic esign Jieong Roland Jiang 江介宏 epartment of lectrical ngineering National Taiwan University Fall 22 6 Sequential ircuit esign homsky ierarchy http://www.cs.lmu.edu/~ray/notes/languagetheory/

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Introduction Logic Gates Boolean Algebra Map Specification Combinational Circuits Flip-Flops Sequential Circuits Memory Components Integrated Circuits Digital Computers 2 LOGIC GATES

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B . (a). (b). (c) S.E. Sem. III [EXTC] igital Electronics Prelim Question Paper Solution ABC ABC ABC ABC ABC ABC ABC ABC = B LHS = ABC ABC ABC ABC ABC ABC ABC ABC But ( ) = = ABC( ) ABC( ) ABC( ) ABC( )

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

EE141- Spring 2007 Digital Integrated Circuits

EE141- Spring 2007 Digital Integrated Circuits EE141- Spring 27 igital Integrated Circuits Lecture 19 Sequential Circuits 1 Administrative Stuff Project Ph. 2 due Tu. 5pm 24 Cory box + email ee141- project@bwrc.eecs.berkeley.edu Hw 8 Posts this Fr.,

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Decoding A Counter. svbitec.wordpress.com 1

Decoding A Counter. svbitec.wordpress.com 1 ecoding A ounter ecoding a counter involves determining which state in the sequence the counter is in. ifferentiate between active-high and active-low decoding. Active-HIGH decoding: output HIGH if the

More information

EECS 270 Midterm 2 Exam Answer Key Winter 2017

EECS 270 Midterm 2 Exam Answer Key Winter 2017 EES 270 Midterm 2 Exam nswer Key Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of the exam

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 23 Digital Logic & Computer Organization Spring 28 Combinational Building Blocks Lecture 5: Announcements Lab 2 prelab due tomorrow HW due Friday HW 2 to be posted on Thursday Lecture 4 to be replayed

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Chapter # 4: Programmable and Steering Logic

Chapter # 4: Programmable and Steering Logic hapter Overview PLs and PLs hapter # : Programmable and teering Logic Non-Gate Logic witch Logic Multiplexers/electers and ecoders Tri-tate Gates/Open ollector Gates ROM ombinational Logic esign Problems

More information

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Computers also need devices capable of Storing data and information Performing mathematical operations on such data Sequential Machines Introduction Logic devices examined so far Combinational Output function of input only Output valid as long as input true Change input change output Computers also need devices capable

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI DEPARTMENT: ECE MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 QUESTION BANK SUBJECT NAME: DIGITAL ELECTRONICS UNIT : Design of Sequential Circuits PART A ( Marks). Draw the logic diagram 4: Multiplexer.(AUC

More information

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation Outline Logic ircuits Introduction Logic Systems TTL MOS Logic Gates NOT, OR, N NOR, NN, XOR Implementation oolean lgebra ombinatorial ircuits Multipleer emultipleer rithmetic ircuits Simplifying Logic

More information

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker Note: + implies OR,. implies AND, ~ implies NOT Question 1: a) (4%) Use transmission gates to design a 3-input OR gate Note: There are

More information

EE141. Lecture 28 Multipliers. Lecture #20. Project Phase 2 Posted. Sign up for one of three project goals today

EE141. Lecture 28 Multipliers. Lecture #20. Project Phase 2 Posted. Sign up for one of three project goals today EE141-pring 2008 igital Integrated ircuits Lecture 28 Multipliers 1 Announcements Project Phase 2 Posted ign up for one of three project goals today Graded Phase 1 and Midterm 2 will be returned next Fr

More information

3 Logic Function Realization with MSI Circuits

3 Logic Function Realization with MSI Circuits 3 Logic Function Realization with MSI Circuits Half adder A half-adder is a combinational circuit with two binary inputs (augund and addend bits) and two binary outputs (sum and carry bits). It adds the

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

Digital Electronics. Part A

Digital Electronics. Part A Digital Electronics Final Examination Part A Winter 2004-05 Student Name: Date: lass Period: Total Points: Multiple hoice Directions: Select the letter of the response which best completes the item or

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

SRC Language Conventions. Class 6: Intro to SRC Simulator Register Transfers and Logic Circuits. SRC Simulator Demo. cond_br.asm.

SRC Language Conventions. Class 6: Intro to SRC Simulator Register Transfers and Logic Circuits. SRC Simulator Demo. cond_br.asm. Fall 2006 S333: omputer rchitecture University of Virginia omputer Science Michele o SR Language onventions lass 6: Intro to SR Simulator Register Transfers and Logic ircuits hapter 2, ppendix.5 2 SR Simulator

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

The Digital Logic Level

The Digital Logic Level The Digital Logic Level Wolfgang Schreiner Research Institute for Symbolic Computation (RISC-Linz) Johannes Kepler University Wolfgang.Schreiner@risc.uni-linz.ac.at http://www.risc.uni-linz.ac.at/people/schreine

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

Chapter 7: Digital Components. Oregon State University School of Electrical Engineering and Computer Science. Review basic digital design concepts:

Chapter 7: Digital Components. Oregon State University School of Electrical Engineering and Computer Science. Review basic digital design concepts: hapter 7: igital omponents Prof. en Lee Oregon tate University chool of Electrical Engineering and omputer cience hapter Goals Review basic digital design concepts: esigning basic digital components using

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 18 CMOS Sequential Circuits - 1 guntzel@inf.ufsc.br

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Mohammed Anvar P.K AP/ECE Al-Ameen Engineering College PLDs Programmable Logic Devices (PLD) General purpose chip for implementing circuits Can be customized using programmable

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 DEPARTMENT: EEE QUESTION BANK SUBJECT NAME: DIGITAL LOGIC CIRCUITS SUBJECT CODE: EE55 SEMESTER IV UNIT : Design of Synchronous Sequential Circuits PART

More information

EE 209 Logic Cumulative Exam Name:

EE 209 Logic Cumulative Exam Name: EE 209 Logic Cumulative Exam Name: 1.) Answer the following questions as True or False a.) A 4-to-1 multiplexer requires at least 4 select lines: true / false b.) An 8-to-1 mux and no other logi can be

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Introduction Logic Gates Boolean Algebra Map Specification Combinational Circuits Flip-Flops Sequential Circuits Memor Components Integrated Circuits BASIC LOGIC BLOCK - GATE - Logic

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction hapter 2 Introduction igital esign and omputer rchitecture, 2 nd Edition avid Money Harris and Sarah L. Harris logic circuit is composed of: Inputs Outputs Functional specification Timing specification

More information

Adders allow computers to add numbers 2-bit ripple-carry adder

Adders allow computers to add numbers 2-bit ripple-carry adder Lecture 12 Logistics HW was due yesterday HW5 was out yesterday (due next Wednesday) Feedback: thank you! Things to work on: ig picture, ook chapters, Exam comments Last lecture dders Today Clarification

More information

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS5 J. Wawrzynek Spring 22 2/22/2. [2 pts] Short Answers. Midterm Exam I a) [2 pts]

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

Latches. October 13, 2003 Latches 1

Latches. October 13, 2003 Latches 1 Latches The second part of CS231 focuses on sequential circuits, where we add memory to the hardware that we ve already seen. Our schedule will be very similar to before: We first show how primitive memory

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

Lecture 4: Implementing Logic in CMOS

Lecture 4: Implementing Logic in CMOS Lecture 4: Implementing Logic in CMOS Mark Mcermott Electrical and Computer Engineering The University of Texas at ustin Review of emorgan s Theorem Recall that: () = + and = ( + ) (+) = and + = ( ) ()

More information

Name. ECE-200 Intelligent Systems

Name. ECE-200 Intelligent Systems Name Spring 2003 EE-200 Intelligent Systems Pracice Final Solution ll problems have the same weight Problem 1. We are working with a multiplexor that is to switch between four sources (inputs), each one

More information

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function Question Paper Digital Electronics (EE-204-F) MDU Examination May 2015 1. (a) represent (32)10 in (i) BCD 8421 code (ii) Excess-3 code (iii) ASCII code (b) Design half adder using only NAND gates. ( c)

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: J. Rose and

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

Hw 6 due Thursday, Nov 3, 5pm No lab this week

Hw 6 due Thursday, Nov 3, 5pm No lab this week EE141 Fall 2005 Lecture 18 dders nnouncements Hw 6 due Thursday, Nov 3, 5pm No lab this week Midterm 2 Review: Tue Nov 8, North Gate Hall, Room 105, 6:30-8:30pm Exam: Thu Nov 10, Morgan, Room 101, 6:30-8:00pm

More information

COE 202: Digital Logic Design Sequential Circuits Part 4. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 4. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 4 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Registers Counters Registers 0 1 n-1 A register is a group

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm02 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Nov. 16 th In normal lecture (13:00-14:15)

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN 14:332:231 IGITL LOGI ESIGN Ivan Marsic, Rutgers University Electrical & omputer Engineering all 2013 Lecture #17: locked Synchronous -Machine nalysis locked Synchronous Sequential ircuits lso known as

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 5 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

Figure 6-1 Layout of Part of a Programmable Logic Cell Array

Figure 6-1 Layout of Part of a Programmable Logic Cell Array Figure 6-1 Layout of Part of a Programmable Logic Cell Array Configurable Logic Block I/0 Block Interconnect Area Figure 6-2 Configuration Memory Cell Q WRITE DATA Q CONFIGURATION CONTROL Figure 6-3 Xilinx

More information

Digital Logic Appendix A

Digital Logic Appendix A Digital Logic Appendix A Boolean Algebra Gates Combinatorial Circuits Sequential Circuits 1 Boolean Algebra George Boole ideas 1854 Claude Shannon, apply to circuit design, 1938 Describe digital circuitry

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Midterm Stephen A. Edwards Columbia University Spring 22 The Midterm 75 minutes 4 5 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

Boolean Algebra. Digital Logic Appendix A. Postulates, Identities in Boolean Algebra How can I manipulate expressions?

Boolean Algebra. Digital Logic Appendix A. Postulates, Identities in Boolean Algebra How can I manipulate expressions? Digital Logic Appendix A Gates Combinatorial Circuits Sequential Circuits Other operations NAND A NAND B = NOT ( A ANDB) = AB NOR A NOR B = NOT ( A ORB) = A + B Truth tables What is the result of the operation

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1 Lab 3 Revisited Zener diodes R C 6.091 IAP 2008 Lecture 4 1 Lab 3 Revisited +15 Voltage regulators 555 timers 270 1N758 0.1uf 5K pot V+ V- 2N2222 0.1uf V o. V CC V Vin s = 5 V Vc V c Vs 1 e t = RC Threshold

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page of COE 22: Digital Logic Design (3--3) Term (Fall 22) Final Exam Sunday January

More information

Sequential Circuit Analysis

Sequential Circuit Analysis Sequential Circuit Analysis Last time we started talking about latches and flip-flops, which are basic one-bit memory units. Today we ll talk about sequential circuit analysis and design. First, we ll

More information

CS61C : Machine Structures

CS61C : Machine Structures CS 61C L15 Blocks (1) inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks Outline CL Blocks Latches & Flip Flops A Closer Look 2005-07-14 Andy Carle CS

More information

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid Timing Constraints in Sequential esigns 63 Sources: TSR, Katz, Boriello & Vahid Where we are now. What we covered last time: FSMs What we ll do next: Timing constraints Upcoming deadlines: ZyBook today:

More information

Menu. Master-Slave Flip-Flop

Menu. Master-Slave Flip-Flop Menu Clocks and Master-lave Flip-Flops J-K and other Flip-Flops Truth table & excitation table Adders (see [Lam: pg 130]) Counters Look into my... 1 CLK Master-lave Flip-Flop Master-lave Latch/Flip-Flop

More information

Appendix A: Digital Logic. CPSC 352- Computer Organization

Appendix A: Digital Logic. CPSC 352- Computer Organization - CPSC 352- Computer Organization -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties of oolean lgebra.6 The Sum-of-Products Form, and Logic Diagrams.7 The

More information

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13 Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13 Ziad Matni Dept. of Computer Science, UCSB Administrative Re: Midterm Exam #2 Graded! 5/22/18

More information

Boolean Algebra. Digital Logic Appendix A. Boolean Algebra Other operations. Boolean Algebra. Postulates, Identities in Boolean Algebra

Boolean Algebra. Digital Logic Appendix A. Boolean Algebra Other operations. Boolean Algebra. Postulates, Identities in Boolean Algebra Digital Logic Appendix A Gates Combinatorial Circuits Sequential Circuits George Boole ideas 1854 Claude Shannon, apply to circuit design, 1938 (piirisuunnittelu) Describe digital circuitry function programming

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS OMINTIONL IRUITS pplications Parity Generation and heking Even Parity P e P e P P P P P P5 P P6 ( ) = m(,, ) =, Odd Parity P o P e Three-bit Parity Generator ( ) = m(,,5, ) P P P P P P5 P P6 = 6 Three-bit

More information

University of Minnesota Department of Electrical and Computer Engineering

University of Minnesota Department of Electrical and Computer Engineering University of Minnesota Department of Electrical and Computer Engineering EE2301 Fall 2008 Introduction to Digital System Design L. L. Kinney Final Eam (Closed Book) Solutions Please enter your name, ID

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

MATC DIGITAL ELECTRONICS LAB ASYNCHRONOUS RIPPLE COUNTERS

MATC DIGITAL ELECTRONICS LAB ASYNCHRONOUS RIPPLE COUNTERS MT IGITL ELETONIS L SYNHONOUS IPPLE OUNTES Submitted to: Mr. Pham Submitted by: Jody ecker Submitted on: //00 Performed on: //00 Lab Group # OJETIVES Jody ecker Elctec 0-00 Lab synchronous ounters Page

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks 2005-07-14 CS 61C L15 Blocks (1) Andy Carle Outline CL Blocks Latches & Flip Flops A Closer Look CS

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

HN27C4096G/CC Series. Ordering Information. Features word 16-bit CMOS UV Erasable and Programmable ROM

HN27C4096G/CC Series. Ordering Information. Features word 16-bit CMOS UV Erasable and Programmable ROM 262144-word 16-bit CMOS UV Erasable and Programmable ROM The Hitachi HN27C4096G/CC is a 4-Mbit ultraviolet erasable and electrically programmable ROM, featuring high speed and low power dissipation. Fabricated

More information

5. Sequential Logic x Computation Structures Part 1 Digital Circuits. Copyright 2015 MIT EECS

5. Sequential Logic x Computation Structures Part 1 Digital Circuits. Copyright 2015 MIT EECS 5. Sequential Logic 6.004x Computation Structures Part 1 igital Circuits Copyright 2015 MIT EECS 6.004 Computation Structures L5: Sequential Logic, Slide #1 Something We Can t Build (Yet) What if you were

More information

CMSC 313 Lecture 16 Announcement: no office hours today. Good-bye Assembly Language Programming Overview of second half on Digital Logic DigSim Demo

CMSC 313 Lecture 16 Announcement: no office hours today. Good-bye Assembly Language Programming Overview of second half on Digital Logic DigSim Demo CMSC 33 Lecture 6 nnouncement: no office hours today. Good-bye ssembly Language Programming Overview of second half on Digital Logic DigSim Demo UMC, CMSC33, Richard Chang Good-bye ssembly

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information