Essential Aspects of Negative Bias Temperature Instability (NBTI) Indiana USA. (Invited Paper)

Size: px
Start display at page:

Download "Essential Aspects of Negative Bias Temperature Instability (NBTI) Indiana USA. (Invited Paper)"

Transcription

1 Essential Aspects of Negative Bias Temperature Instability (NBTI) Ahmad Ehteshamul Islam a, Souvik Mahapatra b, Shweta Deora b, Vrajesh D. Maheta b, and Muhammad Ashraful Alam c a Department of Materials Science and Engineering, University of Illinois at Urbana- Champaign, IL 61801, USA b Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai , India c Department of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana USA a aeislam@ieee.org, b souvik@ee.iitb.ac.in, c alam@purdue.edu (Invited Paper) We develop a comprehensive theoretical framework for explaining the key and characteristic experimental signatures of NBTI. The framework is based on an uncorrelated dynamics of interfacedefect creation/annihilation described by Reaction-Diffusion (R-D) theory and hole trapping/detrapping into/out-of oxide defects based on a generalized Shockley-Read-all model. The proposed theory can consistently explain the long-term stress-phase power-law time exponent, stress/relaxation-phase temperature dependence, characteristic feature of duty-cycle dependence, and universal feature of frequency independence - measured in DC and AC stress conditions over a wide variety of transistors. Thus, we confirm the general validity of R-D theory in explaining the universal features (irrespective of dielectric material) of both DC and AC NBTI. The non-universal features of NBTI have correlation with the amount of oxide defects within the dielectric and do not affect AC NBTI measurements at lower duty cycle. Decomposition of these (uncorrelated) universal and non-universal components is, therefore, essential before comparison with any theory. 1. Background Negative Bias Temperature Instability (NBTI) indicates a temperature accelerated degradation in MOS transistors when it is stressed with a negative gate voltage, i.e., with an oxide electric field that is directed from the channel towards the gate of a MOS transistor. In normal CMOS (which is the basis for today s microprocessor) operation, only the PMOS transistors are subjected to such negative oxide electric field. Therefore, since its introduction in microprocessor in early 1970s [1, ], NBTI-induced performance degradation in PMOS transistors (e.g., increase in threshold voltage, reduction in current drivability) has always been a concern in CMOS technology. Recent use of thin gate dielectric (a consequent increase in oxide electric field) and use of high-κ (oxynitride and f-based) dielectric materials have further enhanced NBTI degradation. As such, NBTI

2 is considered as one of the major reliability concerns in current CMOS technology [3-6]. This industry-wide reliability concern has encouraged numerous efforts (see reference [7] for a chronology of the number of papers being published in this area) to understand the essential aspects of NBTI degradation by using different types of transistor-based measurements and then develop appropriate theory to explain the experiments. owever, there is a perception that a definitive interpretation of this PMOS-specific phenomenon remains elusive. Most of the literature on NBTI is focused on explaining isolated experimental signatures of NBTI degradation and recovery and, in the process, may sometimes overlook the fact that the proffered explanations have not been consistent with other characteristic features of NBTI. Such fragmented modeling efforts have made NBTI literature extremely confusing to the general audience. For capturing the experimental features, NBTI dynamics has sometimes been attributed to interface defect N IT [, 8, 9], or to hole trapping into pre-existing oxide defects N T [10-14], or to both N IT and N T [15-]. Recent introduction of Spin Dependent Recombination (SDR) experiment [3] have helped shed light on the nature of NBTI-specific defects, but the work is still in flux and have not been conclusive enough to settle the discussion. For example, even though many groups have historically attributed NBTI-related N IT generation to P b -centers (by ESR and SDR experiments), recent SDR experiment [3] indicates that P b -centers are apparently absent in transistors with high-κ oxynitride gate dielectric. These experiments have motivated several articles to explain NBTI from the point-of-view of bulk oxide defects, where N IT is either indirectly created via hole trapping into generated oxide defects N OT [], or N IT is absent altogether [1, 13]. Unfortunately, however, the new theories have not always attempted to explain other well known features of NBTI experiments, i.e., (i) stress-phase power-law time exponent, (ii) stress and relaxationphase temperature dependence, (iii) duty cycle dependence, and (iv) universal frequency independence, and therefore the generic validity of such bulk-oxide defect oriented approaches remain questionable. In this manuscript, we develop a robust theoretical framework for explaining these key characteristic experimental features of NBTI, measured in DC and AC stress conditions for a wide variety of transistor technologies. The framework is based on an uncorrelated dynamics for Reaction-Diffusion (R-D) theory based interface defect creation/annihilation and Shockley-Read-all theory based hole trapping/detrapping into/out-of oxide defects. Our analysis suggests the necessity of decomposing NBTI measurements into a universal slow component associated with interface defect generation and a non-universal fast component associated with charge trapping/detrapping into/out-of oxide defects. The universal component can be consistently explained using R-D theory based interface defect dynamics and is directly relevant for product qualification. On the other hand, the non-universal component depends on the amount of oxide defect within the dielectric (and hence associated with the gate stack fabrication technology). ence, this non-universal component is sample dependent and must be accounted only for high-fidelity experimental fitting in short-time scales. Therefore, one should decompose these two uncorrelated (universal and nonuniversal) components and then explain their respective features using respective theories. Any attempt to violate such decomposition will lead to proliferation of unphysical model parameters to capture the experimental trends.

3 1.1 Interface Defect in NBTI and Relevance of R-D Theory istorically, interface defects have always been a technology challenge for semiconductor industry. Unlike oxide defects, interface defects cannot be removed or reduced through purification (or gettering). At the silicon-dielectric interface of a MOS transistor, interface defects (dangling Si- bonds) are terminated or passivated (Si- + Si-) by using hydrogen compounds like silane (Si 4 ). Efficacy of such passivation techniques using atomic hydrogen () has been extensively studied since 1970s [1, 4-9]. owever, -passivation of dangling bonds only provides a time-zero (pre-use) solution from interface defects. After a period of transistor operation, mostly in the PMOS configuration, the interfacial Si- bond starts to dissociate or depassivate (Si- Si- + ) at normal operating condition in the presence of cold holes near the interface and reforms the dangling Si- bonds. Formation of these interface defects due to Si- depassivation has been observed by using ESR and SDR experiments, especially on the Si/SiO interface [30, 31]. The same has also been confirmed using capacitance-voltage measurement and mobility degradation experiments [17, 3, 33]. Theory of interface defect formation has evolved around the (1) modeling of Si- bond dissociation and () subsequent handling of the resultant hydrogen species. Si- bond dissociation is either considered to be (1a) cold-hole assisted [8, 9, 15, 34-36], (1b) dopant-activated [37, 38], or (1c) oxide-defect induced []. Resultant hydrogen species after Si- bond dissociation is either considered to be (a) trapped within the oxide [10,, 33], or (b) diffuse within the oxide/gate region [8, 15]. Mechanisms (1a) and (b), or (1b) and (b), constitute the basis of the so-called Reaction-Diffusion (R-D) framework. Note that the environment of Si- bond (i.e., whether the resultant Si- bond is a P b -center or a K-center [3]) or details of the dissociation process does not affect the characteristic predictions (i.e., power-law time exponent, frequency/duty cycle dependence, temperature dependence) of the R-D theory. Originally proposed in 1977 by Jeppson et al. [] (and later refined by Alam et al. in early 000s [8, 15, 34, 39, 40]) to interpret fractional kinetics of NBTI degradation, the implications of the R-D model has been explored in hundreds of papers through various generations of CMOS technology. R-D model has a major advantage over its counterparts in explaining interface defect formation, i.e., it provides a parameter-free interpretation of all the four broad universal features of NBTI degradation after nonuniversal and technology-specific hole trapping into oxide defect component is subtracted out [16, 41]. It can predict a long term time dependence of t 1/6, long-term Arrheniusactivated temperature dependence, the frequency independence of degradation, and the characteristic shape of duty cycle dependence regardless of the technology or operating condition. All these have made R-D theory (as discussed in section 3) the starting point for all the discussions of NBTI degradation. 1. ole Trapping into Oxide Defects in NBTI Dynamics of trapping into oxide defects have been studied since 1970s [4-44], when Metal-Nitride-Oxide-Semiconductor (MNOS) structure became a popular memory element, because of its simple structure and nonvolatile nature. Detrapping of carriers from pre-existing charged defects in the nitride layers was identified as the main mechanism for limiting the retention time in these memories. Moreover, discharge time

4 was observed to increase with the increase in oxide thickness of the oxide layer, which proves that tunneling of carriers from charged defects within the nitride layer is gradually reduced with the increase in oxide thickness [4]. Similarly, trapping/detrapping of holes and electrons in/out-of pre-existing oxide defects has also been considered as a source of threshold voltage instabilities in MOS transistors during the same period [45-47]. Now-adays, the incorporation of high-κ materials within the dielectric of MOS structures have caused significant concerns from these hole trapping/detrapping issues during NBTI stress [10, 0, 48-56]. In addition, hole trapping/detrapping phenomena can also give rise to the observation of Random Telegraph Noise (RTN) and 1/f noise in modern transistors having high-κ gate dielectric [57-61]. ole trapping is generally modeled by considering tunneling of channel carriers into oxide defects. These oxide defects can either be pre-existing [15, 18] or generated by electrical stress [0,, 6]. Pre-existing oxide defect is mainly an issue in overcoordinated high-κ (oxynitride or f-based) materials [63, 64]. igher coordination number (i.e., number of nearest neighbors for a particular atom within the material) in high-κ materials makes it difficult to satisfy all the chemical bonding within the amorphous network. Thus, transistors with high-κ dielectric are prone to high density of pre-existing defects and generally suffer from BTI effects due to hole trapping (will be designated using N T from now on). On the other hand, oxide defect generation (will be designated using N OT from now on) has always been a problem within the reliability community (irrespective of dielectric material) [6, 65-67] that leads to the well-known phenomena, called Time-Dependent Dielectric Breakdown or TDDB. These oxide defect formation has an empirically extracted to have universal nature [68] and is often characterized with a time dependence of t 1/3 [69]. We will explain the theory of N T and N OT in sections 4 and 5, respectively and therefore, show its relevance in explaining experimental features of NBTI that is presented in section..1 Summary of NBTI Measurements. Broad Empirical Features of NBTI Being one of the major reliability concerns, NBTI has been studied by a large group of researchers all over the world. This breadth of experimental data provides us an opportunity to collect measurements from published reports across industry and academia (see Figure 1) and compare with our own measurements. The comparison is summarized as follows: Figure 1a shows the power-law time exponent (when V T is expressed as At n ) measured at long stress time (t STS ) in the industrial grade devices. ere, A is a voltage and temperature-dependent constant (see equation (13) for details on this voltage and temperature dependence). In spite of disparate sources, all devices unequivocally show an exponent of n ~1/6, independent of voltage and temperature. Figure 1b shows the stress-phase ultra-fast on-the-fly (UF-OTF) measurements (where time-zero delay [70] t 0 is 1µs and V T is estimated ignoring the mobility correction [71], i.e., V T ~ I D /I D0 (V G -V T0 )) at different temperature for different

5 oxynitride process splits. The measurements reveal the existence of a short-time, temperature independent fast component (that saturates within ~ms) in transistors having high %N for optimized (Type-A) plasma oxynitride dielectric. Decrease in %N within the dielectric reduces the contribution from this fast component and shows the existence of temperature activated slow component, even at short t STS. Moreover, at relatively long t STS > 1 s, all devices show some degree of temperature activation (less for high %N). Note that in un-optimized oxynitride process split (Type-B), the temperature-independence at short t STS is even observed for low %N. The readers may wish to review reference [7] for an analysis of nitridation process flow on NBTI characteristics. Figure 1c shows NBTI relaxation measurements across different type-a oxynitride process splits (ultra-fast V T or UFV measurement of reference [50] is also shown for comparison). The important point to note here is the initiation of ~5% NBTI relaxation (t REC,start ; where V T (t REC )/ V T (t STS ) ~ 95%) and the timedependence of NBTI relaxation. Though several studies [, 50, 73, 74] on NBTI have reported the universality of log-t relaxation with t REC,start of ~ µs, our UF- OTF measurements [16, 75, 76] demonstrate that t REC,start and the timedependence of NBTI relaxation depend on %N of the oxynitride high-κ gate dielectric, as well as on the difference between stress and recovery voltages (V STS V REC ). In general, t REC,start is larger (~ ms) for low %N and smaller (V STS - V REC ), very clearly indicating the non-universal nature of NBTI recovery. In addition, NBTI relaxation data also shows temperature independence at short t REC for high %N oxynitride transistors (Figure a,b). And similar to the stress-phase measurements of Figure 1b, the temperature independence at short t REC disappears with the reduction in %N. Figure 1d,e shows a comprehensive summary of duty cycle and frequency dependent NBTI measurement, obtained from a broad range of published reports across industry and academia. When normalized to DC, the duty cycle dependent measurement shows large spread in AC/DC ratio (= V T (AC)/ V T (DC)), although the frequency independence in AC/DC ratio at 50% duty cycle is generally observed (some older datasets show slight drop at higher frequency and most researchers now consider this droop to be a measurement artifact [77]). Value of n TI data, IEDM'06 t STR : 1-100Ks -5 O C 45 O C 105 O C 145 O C TSMC data, IRPS'05 t STR >1000hr, T=15 O C 1/6 (a) V V G,STS [Volts] V T [Volts] E OX ~8MV/cm 55 O C 15 O C 4.5% N Type-B: 5.8% N Type-A 16.7% N 3x10-3 (b) t STS [sec]

6 V T (t REC )/ V T (t STS ) t REC,start V STS / V REC (V) Open: ~3 %N Crossed: ~35 %N / -1.8 UF-OTF [4] -.3 / -1.3 UF-OTF [4] -.0 / 0.0 UFV (c) t REC /t STS V T (AC)/ V T (DC) Toshiba ST IMEC NS; Purdue/IIT: <10 %N >10 %N Infineon TUV (d) (e) Duty cycle [%] Frequency [z] Figure 1. (a) Long-term power-law time exponent n (where, V T ~ t n ) for NBTI, collected from TSMC and TI measurements (taken from reference [78] and [40], respectively), indicates n ~ 1/6. Note that Freescale [79] and Infineon [80] data also shows similar time exponent. (b) Temperature dependent stress-phase NBTI measurement across different process split of oxynitride gate dielectric (type-a has optimum nitridation; and type-b has non-optimized nitridation) (c) Initiation of NBTI relaxation (t REC,start ) varies with V REC and %N of the oxynitride high-κ dielectric (here, the UF-OTF are our measurements and ultra-fast V T or UFV measurement is taken from reference [50]). (d) AC/DC ratio (when V T is measured at the end of AC cycles) vs. duty cycle, and (e) AC/DC ratio vs. frequency (at 50% duty cycle) plots for different technologies indicate wide spread in measured data. Measurements of (d-e) are taken from the following references: Toshiba [81], ST [1], IMEC [77], NUS [8], Infineon [80], TUV [11].

7 . Modeling Challenge The broad scatter in NBTI measurements (as presented in Figure 1) makes NBTI modeling a considerable challenge. In an effort to address this challenge, NBTI researchers have taken widely different strategies: Some articles have used a wide distribution of capture and emission time constants (from s) for fitting each of the stress and relaxation phase measurements with independent distribution [80, 83]. Unfortunately, this approach results in proliferation of fitting parameters that cannot always be physically justified. Other articles have considered wide distribution of defects within the dielectric [1, 13] to capture the long-term nature of the time exponent. In addition, interaction and transfer of chemical species among finite numbers of energy wells [11, ] is recently used to capture part of the experimental features of Figure 1. owever, none of these approaches are comprehensive enough to consistently interpret the four characteristic features of NBTI degradation without using unphysical parameters, as summarized in Figure 1. In an attempt to explain the broad experimental features of NBTI, we ask the following questions: 1) Why is the long-term time exponent always ~1/6, regardless of the stress voltage or stress temperature, as shown in Figure 1a? Note that these results are usually obtained with small measurement delay (order of seconds), which however has insignificant effect when the stress time is very long. Obviously, the use of excessive measurement delay may lead to higher time exponent even at long stress time [84]. ) Why does the early part of stress and relaxation experiments so sensitive to process details of dielectric material, as shown in Figure 1b,c? Why is process dependent part insensitive to temperature, especially at higher %N, as shown in Figure 1b and Figure a,b? And, in the same context, why t REC,start in Figure 1c has process dependence? 3) Why is there a sudden drop in V T (AC)/ V T (DC) in the range of % duty cycle (Figure 1d)? Why is the shape of duty cycle vs. V T (AC)/ V T (DC) universal (this is more evident, when Figure 1d is normalized with respect to 50% duty cycle value, as shown in Figure c) in the lower duty cycle regime? 4) Why is the measured NBTI at 50% duty cycle always frequency independent, irrespective of transistor technology (as shown in Figure 1e)? In this manuscript, we explain NBTI in a broader context by answering the aforementioned four questions, rather than focusing on a smaller subset. Therefore, we decompose measured V T into three uncorrelated components: A. The first and major part of NBTI-induced V T comes from the Reaction-Diffusion theory based interface defect (N IT ) generation and relaxation. We will show how this component can explain: i) the universal observation of 1/6 power-law time exponent, ii) the slow and temperature dependent part of NBTI stress and relaxation, iii) the universal part of duty cycle dependence upto ~50% duty cycle, and iv) the universal observation of frequency independence.

8 B. The second component of NBTI comes from hole trapping into pre-existing oxide defects (N T ). This component can explain: i) the fast and temperature independent part of NBTI stress and relaxation, ii) the sharply decreasing part of duty cycle dependence above ~50% duty cycle, and iii) the magnitude of V T (AC)/ V T (DC) at a particular duty cycle. C. The last component of NBTI comes from hole trapping into generated oxide defects (N OT ). This, in addition to N T, can explain the disparity of t REC,start in different nitrided transistors. Recovered V T [Volts] 0.00 (a) 3%N t REC [sec] t STS = 10 3 sec; V STS = -.3V 0.00 T 55 0 C 85 0 C 15 0 C Weak T dep. (b) 4.5%N t REC [sec] Strong T dep. V T (AC)/ V T (AC,d=50%) 3 IMEC Infenion ST Purdue/IIT Ours TUV 1 0 Line: R-D Theory (c) Duty cycle, d (%) [%] Figure : (a-b) Similar to NBTI stress phase (Figure 1b), early relaxation phase is temperature independent. Such temperature independent early relaxation phase is cleanly observed for high %N transistors. (c) The universal shape of duty cycle dependence (upto d ~ 80%) can be nicely captured by R-D theory. ere, the universal shape of duty cycle is obtained by scaling the duty cycle dependent NBTI measurement with respect to the 50% duty cycle value (see section 7 for the justification). In other words, we express V T using ( ) ( ) ( ) ( ) V t = V t + V t + V t T IT T OT Tox Tox q N ( ) ρ (,, ) ρ (,, ) IT t 0 x x E t de dx E T 0 = x x E t de dx E OT α + +. C C T C T ox ox ox ox ox (1)

9 ere, V IT, V T, and V OT refer to the contributions to V T from N IT, N T, and N OT components, respectively; C ox is the oxide capacitance; T ox is the oxide thickness, α accounts for the fraction of donor type [85] N IT above the substrate Fermi-level that is contributing to NBTI; ρ T (x,e,t) represents trapped holes into the pre-existing oxide defects at location x (measured into the oxide from the poly/oxide interface) and at energy E at time t; and ρ OT (x,e,t) represents trapped holes at generated oxide defects. 3. Theory of Interface Defect (N IT ) In this section, we summarize the main features of R-D theory, considering both atomic () and molecular ( ) diffusion. Our goal is to show how the theory provides a parameter-free prediction of most of the experimental features of NBTI. R-D theory with - diffusion considers dissociation of Si- bond and subsequent diffusion of hydrogen species, as governed by the following equations [15, 86]: dnit (0) = kf ( N0 N IT ) krnit N, dt () (0) (0) δ dn (0) dn dnit (0) = D, + δ k N + δ k N dt dx dt (3) δ (0) (0) dn (0) dn (0) = D, + δ k N δ k N dt dx (4) dn d N = D k N + k N, dt dx (5) dn d N 1 1 = D + k N k N. dt dx (6) Si sub. Si Si Si x x N, N 0 ~ Dt x Figure 3: Schematic of Si- bond dissociation and consequent hydrogen diffusion, as considered in R-D theory based N IT dynamics. Equation () represents passivation/de-passivation effects of Si- bond, where k F, k R, N 0, N IT, N (0) are defined as Si- bond-breaking rate, Si- bond-annealing rate, initial bond density available before stress, interface defect density, and hydrogen density at the Si/dielectric interface, respectively. Equations (3) and (4) correspond to the conservation

10 of fluxes of diffusing hydrogen species ( and ) near the interface (along the x axis), whereas equations (5) and (6) describe diffusion (along the x axis) of and. k N and k N terms in equations (3)-(6) incorporate the - conversion within the generalized R-D framework. Among the symbols used in equations (3)-(6), k and k represent generation and dissociation rates of ; D and D represent diffusion coefficients for and ; N and N represent the concentration of atomic and molecular hydrogen; δ represents the interfacial thickness (~1- Å). All parameters are greater than zero for the stress phase. In particular, k F has the following dependence of equation (7) that serves a physical way of explaining the oxide electric field E ox dependence of interface defect generation [15, 40, 87, 88]: ( ) k ~ p * N P *exp( γ E )*exp ae / kt, (7) h F 0 T T ox ox where p h is the hole concentration within the inversion layer, P T ~ exp(- m ox φ bh ) is the field-independent pre-factor for hole tunneling probability (m ox : oxide effective mass and φ bh : barrier height for hole tunneling), exp(γ T E ox ) is the field-dependent factor for hole tunneling with field acceleration γ T, and exp(ae ox /k B T) is field-assisted Si- bond dissociation enhancement factor (a: effective dipole moment and k B T: thermal voltage). Thus, field acceleration of N IT can be expressed as γ = γ + a / k T. (8) IT T B As shown in [15, 40], equation (8) explains the temperature dependence of N IT s field acceleration (hence provides an experimental way of extracting a and γ T ). In addition, equation (7) can be used to explain the nitridation process dependence [87] and strain dependence [88] of N IT in NBTI measurements. Now, let us derive the key results of R-D model and show how it anticipates the key experimental features of NBTI. 3.1 Power-law time exponent of n ~ 1/6: Assuming N 0 >> N IT and dn IT /dt ~ N IT /t, equation () simplifies to, N k N N t = (9) (0) F 0 IT. krnit Moreover, the numerical solutions indicate that for continuous NBTI stress, dn (0) /dt and diffusion of is negligible at all stress time [15], so that diffusion part in equation (3) reduces to, N t IT (0) (0) = δ k N δ k N, (10) and the conservation of hydrogen species within the system suggests

11 N N 6 D t. (11) (0) IT Equation (11) requires that the extent of diffusion profile is larger than Dt, commonly used for approximating the complementary error function solution of diffusion equation [8, 34, 89]; which is more consistent with the use of 16 Dt / π in [90, 91]. Now, by eliminating N (0) and N (0) from equations (9)-(11), we have ( ) N δ k k N N t IT δ k N + = 0. (1) t k N D t F 0 IT IT R IT 6 Equation (1) is the (implicit) analytical solution of - R-D model, presented in equations ()-(6), which compares very favorably with the detailed numerical simulation [15]. At long stress time (i.e., in the diffusion limited regime), equation (1) simplifies to (when N IT /t becomes negligible) 1/3 /3 k kf N0 ( ) 1/6 n= 1/6 NIT = 6 D t At. k kr (13) Therefore, R-D theory anticipates the long-term time exponent of n ~ 1/6. In addition, R-D theory also establishes the fact that n should not depend on stress voltage and temperature. Both of these observations are consistent with the broad range of NBTI measurements (Figure 1a). 3. Long-term Temperature Dependence: Using appropriate activation energies for k F, k R and D in equation (13) and assuming - conversion process has similar activation for forward and reverse reactions [9], the overall activation energy for V IT = qn IT /C ox can be written as [15], ( ) E ne + E - E - ae. (14) A, IT A, 3 A, F A, R ox where E A,F, E A,R, E A, are activation energies for k F, k R and D, respectively. Experimentally, one can estimate E A,IT ~ 0.1 ev by measuring NBTI in transistors having dominant interface defect generation (i.e., V T ~ V IT ) [18, 3, 40, 50, 85, 88, 93, 94]. We have also shown that the variation of E A,IT due to ae ox term comes within the error margin of activation energy estimation procedure [87]. This measured value of E A,IT and reported magnitude of E A, ~ 0.6 ev [95] suggests that E A,F ~ E A,R. Thus, activation energy of molecular hydrogen diffusion governs the long-term temperature dependence of NBTI measurements. 3.3 Duty-cycle and Frequency Dependence: In order to obtain the duty cycle and frequency dependence of N IT, we derive the amount of N IT under AC NBTI stress condition. ere, we assume that the NBTI stress is

12 applied in a transistor for k (>> 1) cycles with k stress/relaxation steps, defined by the duty cycle d = t STS /T; where T = t STS + t REC is the period of the signal. We also define that the degradation at the end of k-cycles (with respect to degradation over a single stress cycle) using R k N IT (kt)/n IT (t STS ) and R k-1 N IT ((k-1)t + t STS )/N IT (t STS ) with R 1 = 1, by definition. Following the analysis in Refs. [89] and [96], one can show that 1/ n k 1 1/ n k Rk 1 + ( R1 ) pk, (15) 1+ ξ 1 1+ ξ 1 ( ) ( d ) ( ) or equivalently, R k-1 R k (pk) n, where p 1/ 1 ξ ( 1 d ) ( d ) = +. If two transistors are stressed at two different frequencies (f 1 = 1/T 1 and f = 1/T ) at same duty cycle, then for long (but same) integrated stress times (i.e., k 1 T 1 = k T or, k 1 t STS,1 = k t STS, ), the ratio of net degradation for the two transistors is given by: ( 1 1) ( ) ( tsts,1 ) ( t ) n R, 1 k1n IT f IT k 1 STS,1 N k T t = = 1; (16) NIT, f k 1 T R k k NIT STS, tsts, which is frequency-independent (as observed in Figure 1e). And similarly, the ratio of AC NBTI degradation at a given frequency for total duration of T T = k 1 T 1 compared to the DC NBTI degradation for the same period of time is given by n, ( 1) ( ) n ( pk ) A( tsts ) NIT f k 1 1T 1,1 d = = NIT, DC TT = k1t 1 A k1t 1 + d n n ( ) 1 ξ ( 1 ) n ; (17) which gives the characteristic duty cycle dependence of NBTI degradation (line in Figure c). We defer the discussion of the scaling algorithm till section 6.3, which is used in Figure c for the experimental data scaling. Thus, R-D theory can explain the universal observation of frequency independence (Figure 1d) and the characteristics trend of duty cycle dependence upto d ~ 80% (Figure c) for all NBTI experiments, measured in wide variety of process splits. 4. Theory of ole Trapping into Pre-existing Oxide Defects (N T ) Let us now return to the second component of NBTI in equation (1) related to the charging of pre-existing oxide defects. Pre-existing defects are commonly observed in almost all high-κ dielectrics (like oxynitrides and f-based compounds), which is an inherent feature of such over-coordinated high-κ materials. Trapping into these preexisting defects leads to V T, independent of the generation of N IT or N OT. ere, the thinness of modern dielectric film (~ few nm) requires us to consider the effect of detrapping towards the transistor gate [15]. In addition, typical defect density (~ cm -3, i.e., 1 trap in nm 3 ) ensures that trap-to-trap transport is implausible.

13 Therefore, we only need to consider the processes involving single hop to and from the traps, i.e., df T ( x, t) dt ( ) = de σ v p T 1- f n T f n T f, (18) T T th h S T T S T S T G T G T where p h is the inversion layer hole density; v th is the thermal velocity; n S, n G are the concentration of detrapping states at substrate and poly-si respectively; T s are tunneling probabilities between substrate (S), defect/trap (T), and gate (G) of the MOS transistor; and σ T is the capture cross-section for the hole trapping/detrapping process. The first term in equation (18) represents hole trapping into pre-existing oxide defects from the substrate, whereas the second and third terms represent hole detrapping out of oxide defects towards substrate and gate, respectively. These hole capture (during trapping process) and release (during detrapping process) events can be elastic or inelastic, as discussed in detail in reference [97]. Stochastic nature of such hole capture and release events gives rise to random telegraph noise, as studied in references [14, 57-61]. In the following discussion, we focus on the implication of elastic/inelastic hole trapping into thin dielectric and study its implication in long-term NBTI degradation. The readers may wish to review reference [14] for understanding the short-stress features of hole trapping. Solution of equation (18) suggests (see reference [97] for details) the followings: Time dependence: The role of detrapping towards the poly-gate while negligible in thick films (as for the case in [4, 98]) are fundamentally important in the trapping dynamics of thin films. In ultra-thin dielectrics of current CMOS technology, detrapping process limits the possibility of hole trapping in sites located near the gate. As a result, threshold voltage shift due to hole trapping into preexisting defects should saturate within orders of milliseconds, where the saturation time depends on the dielectric quality (i.e., nitridation) and thickness. As such, the corresponding threshold voltage shift can be approximated as ( τ ) β VT = A T 1 exp t /, where A T, τ and β are trapping parameters that depends on the dielectric quality and dielectric thickness. In general, τ and β are larger for larger dielectric thickness and A T is larger for higher %N within the dielectric (i.e., for the dielectric having more N T ). Voltage dependence: Voltage or field dependency of hole trapping arises from the relative position of the quasi-fermi level E FS within the dielectric as a function of oxide electric field E ox. When E ox is reduced, more trapping sites below E FS are filled with electrons (see the change of trapping sites f T values from Figure 4b to Figure 4c), with corresponding reduction V T. As a result, hole trapping process shows significant voltage dependency. Temperature dependence: Since tunneling is a temperature independent process, temperature dependency of hole trapping mainly depends on the temperature dependence of capture cross-section (σ T ) and thermal velocity (v th ). Recently, many hole trapping models [, 59] consider structural relaxation as a part of the hole (19)

14 trapping process. Structural relaxation causes temperature activation (which depends on the energy barrier that is required to overcome for achieving structural relaxation) in the trapping process and can effectively be incorporated within the σ T parameter of equation (18). As the hole trapping process is fast for modern transistors having thin gate dielectric, we can attribute the ultra-fast component of NBTI stress phase (in Figure 1b) to V T. The increase of this ultra-fast component with the increase in nitrogen within the silicon oxynitride (SiO x N y with x+3y=4 [99]) dielectric further confirms that this NBTI component is indeed related to pre-existing oxide defect. Such increase of oxide defect with nitrogen within the SiO x N y dielectric is routinely reported in literature [17, 18, 1, 100]. Moreover, our measurements suggest relatively weak temperature dependence for this ultra-fast V T component (Figure 1b), which indicates that hole trapping in our transistors have temperature independent σ T. Finally, as ultra-fast NBTI relaxation (Figure 1c) has similar features like the one for ultra-fast NBTI stress measurements, we expect initial part of NBTI relaxation to be related to an equivalent temperature independent, but nitrogen-dependent hole detrapping process. Therefore, like the stress phase, hole detrapping process in the relaxation phase will be complete within t REC = orders of ~ ms, depending on the nitrogen content within the oxynitride dielectric. (a) -.1 V V V G 1000 sec 000 sec Time 6 Substrate Oxide Gate f T Substrate Oxide Gate f T E Trap [ev] 4 E FG E FS (b) x Trap [A] E Trap [ev] E FS (c) Empty of holes x Trap [A] E FG Figure 4: (a) Timing diagram used in the hole trapping simulation for a particular transistor having physical oxide thickness T PY ~.3 nm, effective oxide thickness EOT ~1.35 nm, and 39. %N dose (measured using XPS). The dielectric parameter (hole effective mass, oxide bandgap, and barrier height) for the simulation is calculated following the procedure stated in reference [87]. (b) Occupancy of defects or, hole trapping sites (assumed to have existence within the colored region only), after the transistor is kept at V STS = -.1 V for 1000 s. (c) Occupancy of hole trapping sites after the transistor is switched to V STS = -1.0 V at 1000 s and kept at that voltage up to 000 s.

15 5. Theory of ole Trapping into Generated Oxide Defects (N OT ) NBTI stress is also a TDDB stress in p-mosfets. Therefore, we also need to consider hole trapping/detrapping from newly created bulk oxide defects N OT, and corresponding V OT, at NBTI stress condition. In stress phase, the trapping process follows the same equation (18). owever, unlike N T, in this case (slower) oxide defect generation is the rate-limiting process, rather than the hole trapping. Therefore, contrary to V T, V OT is expected to show non-saturating behavior, proportional to the timedependent increase of bulk defect density. Indeed, Figure 5 confirms the existence of universal V OT, even at NBTI stress conditions, as follows: Figure 5a indicates an increase in NBTI time exponent at higher V STS, thus indicating a signature of oxide defect generation [6] or V OT at higher V STS and negligible V OT at lower V STS. Moreover, as the measurement scheme for [78] is slow, we expect the V T component in V T to be negligible. Therefore, at lower V STS, we can presume V T ~ V IT and calculate field acceleration for V IT. Later, using the field acceleration for V IT, we can calculate V IT and thereby find V OT = V T V IT at higher V STS (Figure 5b). The calculated V OT for the measurements in [78] shows a decrease in time exponent for V OT at higher V STS, as also observed in [68]. Interestingly, the estimated V OT at different V STS scales universally and can be fitted (see Figure 5c) using a dispersive bulk defect generation model [68, 101] n > 1/6 Solid line Dashed Line V T [a.u.] (a) V G5 V G4 V G3 V G V G1 n ~ 1/6 field accelera tion of ΔV IT V OT [a.u.] (b) V STS.3V.5V.7V EOT~ 1. nm; T ~ 15 0 C t STS [a.u.] t STS [a.u.] V OT [a.u.] Fitting parameters: σ = 0.1 ev n = 3.38 kf 0 = 3x10-6 (c) V STS Scaling factor.3v 1.0.5V V Scaled t STS [a.u.] Figure 5: (a) Time exponent of NBTI degradation (fitted solid lines) increases at higher NBTI stress voltage of V STS V G4 [78]. (b) Extracted V OT for the

16 measurements in [78] shows a decrease in time exponent for V OT at higher V STS. (c) Estimated V OT at different V STS scales universally (similar to the observation in [68]) and can be fitted using equation (0). ( ) N = n E de, OT OT ( F ) ( ) = ( ) ( ) not E g E 1 exp k E * t, ( ) = F 0 ( 0 ) 1 exp ( E E0 ) / ( ) σ OT + ( E E ) kf E k exp E E / kt, g E σ OT ~, 1 exp ( 0 / σ OT ) (0) where E 0 is the average energy of bond dissociation that is leading to oxide defects and σ OT is its standard deviation. Therefore, V OT is another component that we need to consider for NBTI stress phase. In the NBTI relaxation phase, trapped holes from the oxide defects will detrap in a manner similar to the detrapping from pre-existing oxide defects. So, hole detrapping in the NBTI relaxation phase both from the pre-existing and generated oxide defects can be handled by using a single detrapping formula, as done in reference [41]. 6. Interpreting NBTI Measurements Our theoretical understanding of sections 3, 4 and 5 enables us to isolate the V IT, V T and V OT components of V T. Note that without such decomposition, it is impossible to interpret and model the dynamics of V T (and its components), measured during NBTI stress. Without performing such decomposition of interface and oxide defect components, recently several efforts [13, 14,, 51, 73, 10] have arraigned the R- D theory to be inconsistent with NBTI relaxation (for an example of the purported inconsistency, see Figure 6a). Such alleged inconsistency has raised questions regarding the general validity of the R-D theory. Many alternative theories [1, 13, 1, ] have also been proposed to explain ultra-fast NBTI relaxation, although their ability in predicting the broad features of NBTI remains questionable (see section 7 for further details). 6.1 Interpreting NBTI Stress Phase Measurements If we decompose V T into its V IT, V T and V OT components [41] by calculating V IT using equation (1), V T using equation (19), V OT using ~t 0.3 [69], the voltage and temperature dependency of extracted components show remarkable consistency for transistors having wide variation in dielectric material. The analysis shows high-fidelity matching for stress and relaxation phase NBTI measurements and suggests that in the range of t STS ~ s, V IT ~ t 1/6, V T ~ constant, and V OT is quite small (Figure 6b). Based on these, we can approximate equation (1) in the range of t STS ~ s with

17 V T (t REC )/ V T (t STS ) t STS =10s 16.7% N ; EOT ~.35nm V STS /V REC =-.9V/-1.3V Data RD theory t STS [sec] (a) (b) Stress Relaxation V T ~ 5% V IT V T V OT t REC,start t NIT,start Time Figure 6: (a) Naive (and unphysical) comparison of the time evolution of measured fractional NBTI relaxation at short t REC shows significant inconsistency with R-D solution. (b) Resolution between the measured ultra-fast V T relaxation and comparatively slower N IT relaxation becomes possible, after decomposing V T (solid line) into V IT (dotted line), V T (dashed line) components; each having separate time-dynamics. 1/ 6 T IT + T = IT + T V V V A t B. (1) We use equation (1) and estimate V IT by subtracting a constant (saturated) V T ~ B T from V T for t STS > 1 s in such a way that it provides time exponent n ~ 1/6 for V IT at t STS of s (Figure 7a). ere, the effect of mobility [71, 103] and electric fieldreduction [15] is taken into account in estimating V T. Next, we repeat the decomposition at different temperature for same E ox and t STS, so that we can extract the activation energy of the V IT and V T components. Figure 7b shows the extracted V IT and V T component at different temperature, which suggests E A for V IT (E A,IT ) is ~ ev; which is expected for R-D model based interface defect generation with diffusion (see section 3.); on the other hand, E A for V T or E A,T is ~ 0.04 ev, which is typically expected in any hole trapping process, involving tunneling and no structural relaxation. The signature of E A,IT > E A,T is also evident from Figure 7c, which indicates an increase in the extracted V IT / V T (i.e. decrease in V T / V T ) with increase in temperature, at fixed t STS. Identical procedure is followed to isolate V IT and V T for the transistors of Figure 8, at different voltages and temperatures. The extracted E A,IT (supported by R-D theory of section 3) and E A,T (supported by hole trapping theory of section 4) is similar for these transistors (see Figure 8a). Moreover, extracted V T for these nitrided transistors at a particular E ox, T STS and t STS (Figure 8b) is observed to increase significantly with the increase in %N (with a very rapid increase seen for %N > 30), which is indeed a signature of higher hole trapping for higher %N. On the other hand, V IT only increases slightly with %N, which indicates that there is negligible change in the Si- bond dissociation mechanism and hydrogen diffusion dynamics with the change in %N. Therefore, the extracted parameters for both V IT and V T are consistent with the theoretical predictions.

18 NBTI Components [Volts] 10-1 EOT=1.4nm; 3 % Plasma N V T=15 0 STS =.1V C V T V IT V T n= (a) n~ t STS [sec] EOT ~ 1.4nm; 3%N 6x10 - Dose V =-.1V; t =100s STS V STS T NBTI Components [V] 10 - E A =0.078eV E A,IT =0.094eV E A,T =0.04eV (b) 3x /k B T STS [ev -1 ] V IT V T Fractions of V T V STS = -.1V; t STS = 10 3 sec V IT fraction V T fraction (c) Temperature [ 0 C] Figure 7: (a) Measured V T and extracted V IT and V T components for a transistor having optimized nitrided dielectric. (b) Temperature dependence and corresponding activation energies (E A ) of V T, V IT and V T components for the same transistor. (c) Estimated V T / V T and V IT / V T at different temperature (T STS ) indicate an increase (decrease) in V IT / V T ( V T / V T ) at higher temperature. ere, the error bars represent the noise in I D,lin0 measurement for OTF-I D,lin [104], which causes a ± error in n for V T and a ± 1mV error in estimated V T. Activation Energy [ev] V IT V T (a) %N (atomic) Degradation / EOT [a.u.] tstress t =100s, T stress =15 O STS = 100sec; T STS = 15 C 0 C E OX = 8MV/cm 0.00 V T V IT V T (b) %N (atomic) Figure 8: (a) Activation energy for extracted V IT and V T components indicates negligible %N dependence. (b) %N Dependence of measured V T and extracted

19 V IT and V T components for a particular t STS, T STS and E ox. Lines are guide to the eye only. As shown in reference [41], consideration of V OT in estimating V IT and V T from V T merely changes the signatures of V IT and V T, presented in Figure 7 and Figure 8. In that case, estimated V IT, V T, and V OT shows good consistently with the theoretical expectations and suggests that V OT is a component that one should consider at higher stress bias. 6. Interpreting NBTI Relaxation Phase Measurements Let us now apply the same N IT /N T /N OT decomposition for NBTI relaxation measurements on the same transistor that we have previously analyzed through stressphase decomposition in Figure 7a, which also has the temperature independent NBTI relaxation for t REC < ms (see Figure a). ere, we further use the observation of Figure 9a, which suggests that for V STS = -.3 V, hole detrapping occurs (i.e., t REC,start shows sudden decrease) predominantly at V REC -1.8 V. Figure 9a also suggests that the amount of hole detrapping is similar from V REC = 1.3 V to 1.6 V for the minimum t REC ~ µs measured in this experiment. V T (t REC )/ V T (t STS ) t STS =10 3 sec V STS =-.3V T STS = 15 0 C R-D: -.0V R-D: -1.3V -.0V (a) V REC Dependence: V T t REC [sec] -1.8V -1.6V -1.3V Substrate Oxide Gate Substrate Oxide Gate E C E F E V (b) ole trapping sites (c) Stress (V STS ) Relaxation (V REC ) E C E F E V ole detrapping sites Figure 9: (a) NBTI relaxation experiments at different V REC show significantly different t REC,start. Since relaxation for V REC -1.8 V is very close the R-D theory, there is an additional relaxation mechanism for V REC > -1.8 V. Our observation suggests hole detrapping to be the additional mechanism. (b) Schematic (based on simulation within a Shockley-Read-all trapping-detrapping framework; section 4) for expected hole trapping sites (hatched region) at V STS. (c) When gate bias is switched from V STS to V REC, the hatched region will detrap the captured hole in a temperature independent manner. Thus, trapping sites within the quasi-fermi levels at V REC = 1.8 V and 1.6 V (shown schematically by the hatched region in Figure 9c) will detrap all the holes that were captured by the oxide defects during stress within a timescale of ~ms. Considering such total hole detrapping at V REC = 1.3 V both from the pre-existing and generated oxide defects (here, V OT is considered to be ~ 3 mv at t STS =10 3 s, having the time dependence similar to Figure 5c), the resultant N IT component of the NBTI relaxation

20 experiment shows excellent consistency with R-D theory in terms of t NIT,start at all V REC (see Figure 10a). To understand the contribution of V OT on t NIT,start, we redo the experiment-theory comparison by assuming V OT ~ 0. Figure 10b suggests that consideration of finite V OT increases t NIT,start by an order of magnitude. The remaining theory-experiment gap in terms of time dependence for t REC > t NIT,start reflects the inability of 1D diffusion formulation (considered in classical R-D model of section 3) to capture the details of an essentially 3D diffusion problem. Consideration of 3D diffusion ensures high-fidelity matching for the time dependence for t REC > t NIT,start [41]. Therefore, decomposing the contributions from interface and oxide defects enables us to explain the NBTI relaxation features in a theoretically consistent way. V IT (t REC )/ V IT (t STS ) Lines: R-D Theory t STS = 10 3 sec V STS = -.3V T STS = 15 0 C (a) V REC Dependence: V IT, V T, V OT (b) considered V REC Dependence: V IT t REC [sec] -.0V -1.8V -1.3V V IT (t REC )/ V IT (t STS ) 1.0 t STS =10 3 sec V 0.5 STS =-.3V T STS = 15 0 C (b) V REC Dependence: V IT, V T R-D: -.0V R-D: -1.3V -.0V -1.8V -1.3V considered; (a) V REC VDependence: OT ignored. V IT t REC [sec] Figure 10: (a) (b) Consideration of V IT, V T, and V OT and their decomposition indicates excellent consistency of t NIT,start with R-D theory. (b) Neglecting V OT reduces t NIT,start by an order of magnitude. ere, the error bar along the x-axis for V REC = -1.3 V is due to the I D,lin0 error in calculating V IT / V T (see Figure 7). 6.3 Interpreting AC NBTI Measurements So far we have applied the decomposition procedure to identify the interface and oxide defect components of NBTI-induced V T. Our analysis demonstrates that hole trapping and detrapping occur at similar time-scales (for example, compare the time-scale of the temperature independent hole trapping component in Figure 1b, and hole detrapping component in Figure b). Therefore, we expect total hole detrapping for a AC NBTI stress (measured at the end of OFF-state) with 50% duty cycle (Figure 11a). In other words (see Figure 11b), AC/DC ratio for 50% duty cycle in high %N transistors will measure V T,AC / V T,DC ~ V IT,AC /[ V T,DC + V IT,DC ] (considering V OT component is quite small) and hence will always be less than the contribution from N IT s component, AC/DC(N IT ) = V IT, AC / V IT,DC, predicted by R-D theory. Moreover, as V T decreases for smaller %N, low %N transistors will have V T,AC / V T,DC ~ V IT, AC / V IT,DC, and thus the

10.0 Reaction Diffusion Model: Review

10.0 Reaction Diffusion Model: Review EE65R: Reliability Physics of anoelectronic Devices Lecture 1: egative Bias Temperature Instability: AC Degradation Date: Oct 6 Prepared by: Dhanoop Varghese Reviewed by: Ahmad Ehtesham Islam 1. Reaction

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects Souvik Mahapatra, Nilesh Goel, Ankush Chaudhary, Kaustubh Joshi and Subhadeep Mukhopadhyay Abstract In this

More information

Negative Bias Temperature Instability (NBTI) in p- MOSFETs: Characterization, Material/Process Dependence and Predictive Modeling

Negative Bias Temperature Instability (NBTI) in p- MOSFETs: Characterization, Material/Process Dependence and Predictive Modeling Negative Bias Temperature Instability (NBTI) in p- MOSFETs: Characterization, Material/Process Dependence and Predictive Modeling Souvik Mahapatra Department of Electrical Engineering Indian Institute

More information

NEGATIVE bias temperature instability (NBTI) or the

NEGATIVE bias temperature instability (NBTI) or the IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 1, MARCH 2008 47 Effect of the Interfacial SiO 2 Layer in High-k HfO 2 Gate Stacks on NBTI Arnost Neugroschel, Fellow, IEEE, Gennadi Bersuker,

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

Study of dynamics of charge trapping in a-si:h/sin TFTs

Study of dynamics of charge trapping in a-si:h/sin TFTs Study of dynamics of charge trapping in a-si:h/sin TFTs A.R.Merticaru, A.J.Mouthaan, F.G.Kuper University of Twente P.O.Box 217, 7500 AE Enschede Phone:+31 53 4892754 Fax: +31 53 4891034 E-mail: a.r.merticaru@el.utwente.nl

More information

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser Recent Developments in Device Reliability Modeling: The Bias Temperature Instability Tibor Grasser Institute for Microelectronics, TU Vienna Gußhausstraße 27 29, A-14 Wien, Austria TU Wien, Vienna, Austria

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

Chapter 2 Degradation Mechanisms

Chapter 2 Degradation Mechanisms Chapter 2 Degradation Mechanisms 2.1 Introduction In this chapter, a general description of the main MOSFET degradation mechanisms considered in this work is given. The proposed dissertation, while not

More information

Simulating Negative Bias Temperature Instability of p-mosfets

Simulating Negative Bias Temperature Instability of p-mosfets Simulating Negative Bias Temperature Instability of p-mosfets Introduction The degradation of MOSFET devices having relatively thin oxide layers is generally accepted as being mainly associated with the

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

23.0 Introduction Review

23.0 Introduction Review ECE 650R: Reliability Physics of Nanoelectronic Devices Lecture 22: TDDB Statistics Date: Nov. 0, 2006 Class Notes: Lutfe Siddiqui Review: Saakshi Gangwal 23.0 Introduction Time dependent dielectric breakdown

More information

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Alex Guo and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Cambridge, MA, USA Sponsor:

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES By Guoxing Duan Thesis Submitted to the Faculty of the Graduate school of Vanderbilt University in partial

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 10/30/2007 MOSFETs Lecture 4 Reading: Chapter 17, 19 Announcements The next HW set is due on Thursday. Midterm 2 is next week!!!! Threshold and Subthreshold

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

Choice of V t and Gate Doping Type

Choice of V t and Gate Doping Type Choice of V t and Gate Doping Type To make circuit design easier, it is routine to set V t at a small positive value, e.g., 0.4 V, so that, at V g = 0, the transistor does not have an inversion layer and

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Semiconductor Devices C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Global leader in environmental and industrial measurement Wednesday 3.2. afternoon Tour around facilities & lecture

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

The Pennsylvania State University. The Graduate School. Intercollege Graduate Degree Program in Materials Science and Engineering

The Pennsylvania State University. The Graduate School. Intercollege Graduate Degree Program in Materials Science and Engineering The Pennsylvania State University The Graduate School Intercollege Graduate Degree Program in Materials Science and Engineering MAGNETIC RESONANCE OBSERVATIONS OF DEFECTS INVOLVED IN BIAS TEMPERATURE INSTABILITIES

More information

CHARGE TRAPPING PROPERTIES OF ALTERNATIVE HIGH-K DIELECTRICS IN MOS DEVICES. Xing Zhou. Dissertation. Submitted to the Faculty of the

CHARGE TRAPPING PROPERTIES OF ALTERNATIVE HIGH-K DIELECTRICS IN MOS DEVICES. Xing Zhou. Dissertation. Submitted to the Faculty of the CHARGE TRAPPING PROPERTIES OF ALTERNATIVE HIGH-K DIELECTRICS IN MOS DEVICES By Xing Zhou Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment of

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation.

Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation. Optics of Surfaces & Interfaces - VIII September 10 th, 2009 Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation. Jimmy Price and Michael C. Downer Physics

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date:

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date: EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date: Nov 1, 2006 ClassNotes: Jing Li Review: Sayeef Salahuddin 18.1 Review As discussed before,

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

Appendix 1: List of symbols

Appendix 1: List of symbols Appendix 1: List of symbols Symbol Description MKS Units a Acceleration m/s 2 a 0 Bohr radius m A Area m 2 A* Richardson constant m/s A C Collector area m 2 A E Emitter area m 2 b Bimolecular recombination

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University.

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. Homework 6: Gate Dielectric Breakdown Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. In Lectures 21-26, we have discussed how thin-oxides break. Three

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

COTS BTS Testing and Improved Reliability Test Methods

COTS BTS Testing and Improved Reliability Test Methods 2015 August 2015 SiC MOS Program Review COTS BTS Testing and Improved Reliability Test Methods Aivars Lelis, Ron Green, Dan Habersat, and Mooro El Outline Lelis (and Green) : COTS BTS results Standard

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

NBTI and Spin Dependent Charge Pumping in 4H-SiC MOSFETs

NBTI and Spin Dependent Charge Pumping in 4H-SiC MOSFETs NBTI and Spin Dependent Charge Pumping in 4H-SiC MOSFETs Mark A. Anders, Patrick M. Lenahan, Pennsylvania State University Aivars Lelis, US Army Research Laboratory Energy Deviations from the resonance

More information

Laser Diodes. Revised: 3/14/14 14: , Henry Zmuda Set 6a Laser Diodes 1

Laser Diodes. Revised: 3/14/14 14: , Henry Zmuda Set 6a Laser Diodes 1 Laser Diodes Revised: 3/14/14 14:03 2014, Henry Zmuda Set 6a Laser Diodes 1 Semiconductor Lasers The simplest laser of all. 2014, Henry Zmuda Set 6a Laser Diodes 2 Semiconductor Lasers 1. Homojunction

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Problem 1: Semiconductor Fundamentals [30 points] A uniformly doped silicon sample of length 100µm and cross-sectional area 100µm 2

More information

Lecture 6: 2D FET Electrostatics

Lecture 6: 2D FET Electrostatics Lecture 6: 2D FET Electrostatics 2016-02-01 Lecture 6, High Speed Devices 2014 1 Lecture 6: III-V FET DC I - MESFETs Reading Guide: Liu: 323-337 (he mainly focuses on the single heterostructure FET) Jena:

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 10 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits Exam No. 2 Thursday, November 5, 2009 7:30 to

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Experimental characterization of BTI defects

Experimental characterization of BTI defects Experimental characterization of BTI defects B. Kaczer 1, V. V. Afanas ev, K. Rott 3,, F. Cerbu, J. Franco 1, W. Goes, T. Grasser, O. Madia, A. P. D. Nguyen, A. Stesmans, H. Reisinger 3, M. Toledano-Luque

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 10 MOSFET part 1 guntzel@inf.ufsc.br ual-well Trench-Isolated

More information

Modeling of PMOS NBTI Effect Considering Temperature Variation

Modeling of PMOS NBTI Effect Considering Temperature Variation Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, 100084, P.R. China

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The evices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Metal Semiconductor Contacts

Metal Semiconductor Contacts Metal Semiconductor Contacts The investigation of rectification in metal-semiconductor contacts was first described by Braun [33-35], who discovered in 1874 the asymmetric nature of electrical conduction

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

Lecture 12: MOSFET Devices

Lecture 12: MOSFET Devices Lecture 12: MOSFET Devices Gu-Yeon Wei Division of Engineering and Applied Sciences Harvard University guyeon@eecs.harvard.edu Wei 1 Overview Reading S&S: Chapter 5.1~5.4 Supplemental Reading Background

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

HOT-CARRIER RELIABILITY SIMULATION IN AGGRESSIVELY SCALED MOS TRANSISTORS. Manish P. Pagey. Dissertation. Submitted to the Faculty of the

HOT-CARRIER RELIABILITY SIMULATION IN AGGRESSIVELY SCALED MOS TRANSISTORS. Manish P. Pagey. Dissertation. Submitted to the Faculty of the HOT-CARRIER RELIABILITY SIMULATION IN AGGRESSIVELY SCALED MOS TRANSISTORS By Manish P. Pagey Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 24, 2017 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2017 Khanna Lecture Outline! Semiconductor Physics " Band gaps "

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

Classification of Solids

Classification of Solids Classification of Solids Classification by conductivity, which is related to the band structure: (Filled bands are shown dark; D(E) = Density of states) Class Electron Density Density of States D(E) Examples

More information

Chapter 15 On the Microscopic Limit of the RD Model

Chapter 15 On the Microscopic Limit of the RD Model Chapter 15 On the Microscopic Limit of the RD Model Franz Schanovsky and Tibor Grasser Abstract The popular reaction diffusion model for the negative bias temperature instability is discussed from the

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 12 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits FINAL EXAMINATION Open book. Notes: 1. Unless

More information

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA MOS Transistors Prof. Krishna Saraswat Department of Electrical Engineering S Stanford, CA 94305 saraswat@stanford.edu 1 1930: Patent on the Field-Effect Transistor! Julius Lilienfeld filed a patent describing

More information

This is the 15th lecture of this course in which we begin a new topic, Excess Carriers. This topic will be covered in two lectures.

This is the 15th lecture of this course in which we begin a new topic, Excess Carriers. This topic will be covered in two lectures. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 15 Excess Carriers This is the 15th lecture of this course

More information

Extensive reading materials on reserve, including

Extensive reading materials on reserve, including Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets S. Deora 1,3, A. Paul 2, R. Bijesh 1, J. Huang 3, G. Klimeck 2, G. Bersuker 3, P. D. Krisch 3 and R. Jammy 3. 1 Department of Electrical

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm 2 Name: SID: Closed book. Two sheets of notes are

More information

Long-channel MOSFET IV Corrections

Long-channel MOSFET IV Corrections Long-channel MOSFET IV orrections Three MITs of the Day The body ect and its influence on long-channel V th. Long-channel subthreshold conduction and control (subthreshold slope S) Scattering components

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information

FIELD-EFFECT TRANSISTORS

FIELD-EFFECT TRANSISTORS FIEL-EFFECT TRANSISTORS 1 Semiconductor review 2 The MOS capacitor 2 The enhancement-type N-MOS transistor 3 I-V characteristics of enhancement MOSFETS 4 The output characteristic of the MOSFET in saturation

More information

Fundamentals of Semiconductor Physics

Fundamentals of Semiconductor Physics Fall 2007 Fundamentals of Semiconductor Physics 万 歆 Zhejiang Institute of Modern Physics xinwan@zimp.zju.edu.cn http://zimp.zju.edu.cn/~xinwan/ Transistor technology evokes new physics The objective of

More information

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Lecture 11: MOSFET Modeling

Lecture 11: MOSFET Modeling Digital Integrated Circuits (83-313) Lecture 11: MOSFET ing Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 18 June 2017 Disclaimer: This course was prepared, in its entirety,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure Outline 1. Introduction to MOS structure 2. Electrostatics of MOS in thermal equilibrium 3. Electrostatics of MOS with

More information

MOS Capacitor MOSFET Devices. MOSFET s. INEL Solid State Electronics. Manuel Toledo Quiñones. ECE Dept. UPRM.

MOS Capacitor MOSFET Devices. MOSFET s. INEL Solid State Electronics. Manuel Toledo Quiñones. ECE Dept. UPRM. INEL 6055 - Solid State Electronics ECE Dept. UPRM 20th March 2006 Definitions MOS Capacitor Isolated Metal, SiO 2, Si Threshold Voltage qφ m metal d vacuum level SiO qχ 2 E g /2 qφ F E C E i E F E v qφ

More information

EE105 - Fall 2006 Microelectronic Devices and Circuits

EE105 - Fall 2006 Microelectronic Devices and Circuits EE105 - Fall 2006 Microelectronic Devices and Circuits Prof. Jan M. Rabaey (jan@eecs) Lecture 7: MOS Transistor Some Administrative Issues Lab 2 this week Hw 2 due on We Hw 3 will be posted same day MIDTERM

More information

How a single defect can affect silicon nano-devices. Ted Thorbeck

How a single defect can affect silicon nano-devices. Ted Thorbeck How a single defect can affect silicon nano-devices Ted Thorbeck tedt@nist.gov The Big Idea As MOS-FETs continue to shrink, single atomic scale defects are beginning to affect device performance Gate Source

More information

4.2 Molecular orbitals and atomic orbitals Consider a linear chain of four identical atoms representing a hypothetical molecule.

4.2 Molecular orbitals and atomic orbitals Consider a linear chain of four identical atoms representing a hypothetical molecule. 4. Molecular orbitals and atomic orbitals Consider a linear chain of four identical atoms representing a hypothetical molecule. Suppose that each atomic wavefunction is 1s wavefunction. This system of

More information

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA OVERVIEW OF THE LAST FIVE YEARS AND NEW RESULTS Sokrates T. Pantelides Department of Physics and Astronomy, Vanderbilt University, Nashville, TN The theory

More information

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature 1.9. Temperature Dependence of Semiconductor Conductivity Such dependence is one most important in semiconductor. In metals, Conductivity decreases by increasing temperature due to greater frequency of

More information

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet S. F. Wan Muhamad Hatta a, H. Hussin *a, b, F. Y. Soon a, Y. Abdul Wahab a, D. Abdul Hadi a, N. Soin

More information

= (1) E inj. Minority carrier ionization. ln (at p ) Majority carrier ionization. ln (J e ) V, Eox. ~ 5eV

= (1) E inj. Minority carrier ionization. ln (at p ) Majority carrier ionization. ln (J e ) V, Eox. ~ 5eV EE650R: Reliability Physics of Nanoelectronic Devices Lecture 21: Application of Anode hole injection Model to Interpret Experiments Date: Nov 8 2006 ClassNotes: Vijay Rawat Reviewer: Haldun Kufluoglu

More information

Floating Gate Devices: Operation and Compact Modeling

Floating Gate Devices: Operation and Compact Modeling Floating Gate Devices: Operation and Compact Modeling Paolo Pavan (1), Luca Larcher (1) and Andrea MarmirolI (2) (1) Università di Modena e Reggio Emilia, Via Fogliani, 1 42100 Reggio Emilia (Italy) -

More information

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs Technische Universität Graz Institute of Solid State Physics 11. MOSFETs Dec. 12, 2018 Gradual channel approximation accumulation depletion inversion http://lampx.tugraz.at/~hadley/psd/l10/gradualchannelapprox.php

More information