Novel Approach of Semiconductor BEOL Processes Integration

Size: px
Start display at page:

Download "Novel Approach of Semiconductor BEOL Processes Integration"

Transcription

1 Novel Approach of Semiconductor BEOL Processes Integration Chun-Jen Weng Proceedings of the XIth International Congress and Exposition June 2-5, 2008 Orlando, Florida USA 2008 Society for Experimental Mechanics Inc. Department of Science and Technology Management Leader University Tainan, 709 Taiwan, R.O.C. Keywords : BEOL, Process Integration, Lithography, Gap-Fill, Semiconductor ABSTRACT The performance of the manufacturing process in each of these areas determines the overall manufacturability of the process. As device geometries are reduced, understanding and minimizing the sources of process-induced defects is critical to achieving and maintaining high device yields. This paper presents comprehensive the investigating a novel metrology on semiconductor process module integration and technology on optimal integrated lithography processes and solution to the problem of defects reduction on semiconductor wafer in sub-micron processes integration. As duel damascene integration copper process is complicated and critical in semiconductor processes. It has been common knowledge that pattern collapse and missing of this process and numerous defects could be prevented by optimal the process module tuning. To investigate novel semiconductor process integration on deep pattern aspect ratio effects of sub-micron CMOS semiconductor BEOL (Back-End-Of-Line) structure were included in this study. Moreover, the electrical device investigations of device checking were also included. INTRODUCTION For efficient yield of integrated circuits (IC's) wafer fabrication, successful development and implementation of new processes technologies requires optimization of all parameters that can impact final device yield. Key areas of focus are transistor performance characteristics, device module integration, process integration, and process-induced defects. The newly developed process flow revealed that the major defect source is in key fact capable of producing kiler defects at high densities. The works of defect review of the investigation and characteristics of the defect follows with a critical area analysis calculation to estimate the yield impact. In semiconductor industry, the tight geometries found in small feature sizes, higher pattern density and high aspect ratio contribute to the faster chips the market demands, but also require improved performance with respect to defect density. The striation phenomena were always occurred on sub-micron semiconductor processes,

2 and the higher pattern density and high aspect ratio result in pattern abnormal and collapse. The abnormal phenomena will leads to yield loss on electrical device test and productivity yield losses. Dual damascene processes demand cleanliness since defects at trough etch result in opens, but could also cause problems with later via etch process steps. Therefore, for deep sub-micron era, high pattern density technology is desired for achieving a high performance device. The use of etching and lithography technology to improve sub micron process has been known for years and many semiconductor process technologies have been proposed. However, these difficulties on technologies accompany an increase of significant process steps in conventional Si process. Jean et al. [1] reveal that process development characterization and performance evaluation of low-k dielectrics to form multi-level Cu interconnects for the 65 nm CMOS technology. It has been common knowledge that pattern collapse of this BEOL duel damascene process could be prevented by optimal the process. To control pattern collapse, Koba et al. [2] indicated and demonstrated that tri-layer resist process had a high applicability for device fabrication in BEOL. Nohdo et al. [3] also indicated Proximity electron lithography (PEL) using the ultra-thin tri-layer resist system has been successfully integrated in our dual-damascene Cu/low-k interconnects technology for the 90-nm process. Masazumi et al. [4] describes process optimization of UV curing for ultra Low-k SiOC (ULK-SiOC, k=2.65) and High stress silicon nitride (HS-SiN) liner. Moreover, Lee et al. [5] investigated resist pattern collapse with top rounding resist profile. They find that the resist deformation phenomenon has been a serious problem for under 100 nm line width patterns. The simulated results show that the pattern collapse phenomenon is reduced for the top rounding resist profile rather than for vertical profiles. However, technology and development of silicon transistor (front-end) processing, there are probably a further four working on issues related to interconnect (BEOL) processing. Many problems have been cited in next-generation semiconductor manufacturing processes as devices become ever smaller. A particularly serious problem is pattern collapse, a phenomenon wherein the capillary effect causes photo-resist patterns to collapse during the developing process, which results from the increasingly small photo-resist. This phenomenon is likely to occur when the aspect ratio increasing, defined as the ratio of photo-resistant film thickness to the width of a pattern. Chris et al.[6] discuss pattern collapse, and develop a simple 1D model for collapse, and investigate the impact of collapse on the focus-exposure process window on lithography process. However, for the gap fill process, Tilke et al. [7] investigate FEOL STI Gap-Fill Technology with High Aspect Ratio Process for 45nm CMOS and beyond. They prove good gap fill performance up to aspect ratios larger 10:1. Since this fill process doesn't attack the STI liners as compared to HDP, a variety of different STI liners can be implemented. Su, Y.N.et al., [8] proposed a hybrid BEOL dual damascene interconnect approach with organic ultra-low-k for gap filling has been demonstrated. The traditional PR approach with via-first process for dual damascene suffers from ashing damage for CVD ultra-low-k. The approach is able to circumvent the issues mentioned above without introducing process complication. The technology advances and new processes in the lithography area coupled with the increasing market pressures have placed greater demands on defect management. It is important to identify and resolve defects in the lithography area before committing product wafers in order to be competitive in Fabs processes. Moreover, Back-end-of-line (BEOL) defects (e.g., high-resistance via or interconnect defects) have increasing occurrence probability than front-end-of-line (FEOL) defects in nanometer technologies. Edelstein [9] present advanced Cu/Low-k BEOL Integration, Reliability, and Extendibility. The focus will be on BEOL architecture, integration techniques, their impact on reliability, and their outlooks for 32 nm. Chen et al. [10] investigates defect reduction of copper

3 BEOL for advanced ULSI interconnect. Leavey et al. [11] investigate on advanced process control based on lithographic defect inspection and reduction. They proposed methodology based on post-lithographic defect inspection and defective die count analysis was employed which provided effective process monitoring and yield maintenance. The methodology allows rapid decision-making with a minimum of information for post-litho lot disposition. Peterson et al. [12] investigate the Sample Planner cost model was applied to the full range of available defect inspection technologies and sampling strategies based on the commonly known defect mechanisms that occur in the lithography area. From this a recommended optimum sampling and monitoring strategy was obtained. Lee et al. [13] study Investigation and elimination of sphere defects in the isolation module. Their experiments were performed to identify the defect source and determine the mechanism of defect formation. This paper describes some of the defect reduction activities performed during the evaluation of two new device technologies. In-line inspections were implemented in key process modules to monitor for process and integration-related defect issues. Consequently, an optimal and novel metrology that enables the yield enhancement of CMOS without accompanying the increase of process steps has been strongly desired in semiconductor processes integration. In semiconductor sub-micron process integration and development, it is difficult to maintain high effects on yield and device performance due to process control, because of the complicate structure and stress induced on semiconductor wafer. Therefore, for deep sub-micron semiconductor era, process technology is desired for achieving a high performance device. The present invention relates to a process for forming semiconductor process integration and defect defects reduction. More particularly, the present invention relates to a lithography gap-filling process for forming semiconductor BEOL processes fabrication. METHODS The biggest changes occur with respect to the low-k dielectric in logic devices and copper interconnects for semiconductor process integration. The major reliability challenges that must be overcome to achieve the scaling targets for future integrated circuits (ICs) are at the BEOL process. A novel method for processing IC designs for different metal BEOL processes is provided for enabling fabricating using a metal fabrication process an IC originally having a backend design for a different metal fabrication process. The method can be used to convert a backend design of an IC from an old metal process (such as Cu micro process) to a new metal process (such as Cu sub-micro process), without redesigning the IC for the new metal BEOL fabrication process. A gap-filling process, comprising the steps of: providing a substrate having a dielectric layer thereon, wherein the dielectric layer has an opening therein; forming a gap-filling material layer over the dielectric layer and inside the opening, wherein material constituting the gap-filling material layer is a photo-resist material or a bottom anti-reflection coating material; removing a portion of the gap-filling material from the gap-filling material layer to expose the dielectric layer; and conducting a gap-filling material treatment for forming a protective layer on an exposed surface of the gap-filling material layer, wherein the protective layer is not formed over the entire substrate but formed on the exposed surface of the gap-fitting material layer; wherein the gap-filling material treatment includes conducting an ultra-violet curing or a chemical immersion. As semiconductor process is complicated and trade off among each module processes, the process tuning and electrical device become more difficult. Weng et al. [14] [15] proposed a gap-filling process patents on BEOL process. A gap-filling material

4 treatment of the surface of the gap-filling material layer and the dielectric layer is carried out to planarize the gap-filling material layer so that a subsequently formed bottom anti-reflection coating or material layer over the gap-filling material layer can have a high degree of planarity BEOL process. The investigation of semiconductor process module integration and technology on optimal integrated lithography processes on sub-micron semiconductor process integration were also studied in [16,17]. The studies aim at investigating a novel metrology semiconductor process module integration and technology on optimal integrated lithography processes on nano-semiconductor process integration and solution to the problem of defect reduction on semiconductor wafer. The optimal integrated process sets up a gap-filling material treatment to etch back the gap-filling material layer so that the pattern collapse and striation phenomena were overcome during wafer fabrication process. For semiconductor BEOL copper process, Weng et al. [18] proposed a double coat method on forming damascene structures. A substrate including a dielectric layer thereon is provided. The dielectric layer has a plurality of via holes. Gap filler is formed into each via hole. Subsequently, a first anti-reflective coating (ARC) film and a second ARC film are consecutively formed on the dielectric layer. A photo-resist pattern for defining a trench pattern is formed on the second ARC film. Following that, an etching process is performed to remove an upper part of the dielectric layer left uncovered by the photo-resist pattern to form a plurality of trenches. According to the invention, via hole is filled with a gap filler prior to forming the trench, therefore the edge of via hole is not damaged during the etching process for forming the trench. In wafer process manufacturing flow, each process step contributes to the end product's variance. A variational sensitivity analysis helps identify the process steps where optimal process integration can benefit the overall quality of the process flow. Accordingly, one object of the present invention is to provide a gap-filling process capable of producing a gap-filling material layer with an improved surface planarity so that a subsequently formed bottom anti-reflection coating or photo-resist layer over the gap-filling material layer also has a better surface planarity. In this invention, the gap-filling material layer is etched to form a planar surface. Hence, any layer deposited over the gap-filling material layer can have a high level of planarity that facilitates the formation of a correct pattern in subsequent photolithographic and etching operation. Moreover, a substrate including a dielectric layer thereon is provided. The dielectric layer has a plurality of via holes. Gap filler is formed into each via hole. Subsequently, a first anti-reflective coating (ARC) film and a second ARC film are consecutively formed on the dielectric layer. A photo-resist pattern for defining a trench pattern is formed on the second ARC film. Following that, an etching process is performed to remove an upper part of the dielectric layer left uncovered by the photo-resist pattern to form a plurality of trenches RESULTS AND DISCUSS Wafer processing has traditionally depended on a series of localized regulatory controllers to assure process consistency. Especially, BEOL (Back-end-of-line) characterization is increasingly difficult on advanced semiconductor process technology. BEOL module process is the greatest constraint for process integration of the BEOL copper process technology development. However, for the nano-meter technology node, it's process that presents a significant metrology challenge. Moreover, the formation of ultra-fine patterns in BEOL sub-micron generation (90 nm, 65nm, 45nm and beyond)

5 semiconductor fabrication processes will not be without its technological challenges. Damascene structure refers to the practice of forming inter-connecting wires first developed by the ancient artisans of dual damascene structure. Dual damascene structure, including single damascene structure and dual damascene structure, is essential nowadays in the fabrication of inter-connecting wires in semiconductor devices, especially in the fabrication of copper inter-connecting wires. For implementing of damascene structure, a photo-resist pattern is first formed onto a dielectric layer positioned on a substrate to define the positions of via holes to be etched. The serious problem is wafer pattern missing during damascene structure of wafer fabrication. Pattern missing and collapse describes a phenomenon whereby a capillary effect causes photo-resistant patterns to disintegrate during the developing process, due to the use of increasingly photo-resistant and high aspect ration pattern. As semiconductor fabrication process is complicated, optimal process integration of dual damascene manufacturing method of a BEOL metal trench was presented in this study. Figure 1 shows the standard film deposition and physical structure after BEOL copper CMP process. As metal interconnect line aspect ration and pattern density increased, these schemes could be complicate and challengeable with etching and lithography process infrastructure. At the BEOL scheme, leading device makers implemented the first porous low-k chemical vapor deposition (CVD) films at the critical levels, which represented an enormous integration and engineering challenge for the Fabs. Accordingly, the photo-resist pattern used to define via holes becomes more sophisticated, and therefore has a poor adhesion to the dielectric layer. This makes the photo-resist pattern collapse easily during the etching process. As copper semiconductor wafer process, the physical dimension shows as Fig.1. The process development, characterization and performance evaluation of low-k dielectrics to form multi-level Cu interconnects for the sun-micro CMOS technology was presented. The aspect ration is increasing as electrical device needed. Significant modifications and improvements have been implemented to overcome those challenges as design rules shrink, via/trench processes optimization. Metal interconnect Dielectric material BEOL wide Metal / Via narrow Metal / Via FEOL Fig.1 Semiconductor standard film deposition and physical structure after BEOL copper CMP process. One of the significant difficults in multi-level interconnects that may be implemented by process tuning and optimal integration. As semiconductor fabrication process is complicated, standard copper dual damascene manufacturing method of a BEOL metal trench was presented in Fig 2. A dual damascene process can be used to form an interconnection on semiconductor. In this process, the copper vias are processed using copper damascene just after the contact layer and before the back-end-of-line (BEOL)

6 interconnect stack. This demonstration is important because of the density and interconnect sizes achieved by the potential extension to wafer-scale stacking. A first dielectric layer is formed on a semiconductor substrate having a device layer formed thereon. Vias were formed and patterned on the dielectric layer so that an opening is formed to expose the gate device therein. The metal trench penetrating through the dielectric layer is formed, and the device layer within the contact window is exposed. A dual damascene process is challenge on via and metal lithography and trench process especially for physical and electrical device. Film VIA Photoresistant VIA Etching Clean SiOx SiNx SiOx SiNx Metal / Via Metal / Via Trench Trench Etching Clean Copper Fig.2 standard semiconductor BEOL process flow Accordingly, a metrology of process integration capable of producing a gap-filling material layer with an improved surface planarity so that a subsequently formed bottom anti-reflection coating or photo-resist layer over the gap-filling material layer also has a better surface planarity. After enormous tuning on module process and electrical device check, this study present study relates to a process for forming semiconductor devices. More particularly, the present study and investigation relate to a gap-filling process for forming semiconductor devices. Gap-filling process is a technique that has many applications in semiconductor production. In semiconductor manufacturing, the gap-filling process is often used after openings are formed. Different material is deposited into the openings according to the type of structure desired. For example, insulating material is deposited into the trenches of a shallow trench isolation (STI) structure and conductive material is deposited into the contact window of an inter-metal dielectric (ILD) structure, the via of an inter-metal dielectric (IMD) structure or the metallic interconnect opening of a dual damascene structure. Subsequently, an etching process is performed to etch the dielectric layer not covered by the photo-resist pattern so as to form a plurality via holes. Finally, the photo-resist pattern is stripped, a metal layer is then deposited to fill up via holes, and a polishing process is performed to form a plurality of inter-connecting wires. As device integration improves day by day, the pattern of via holes becomes denser and each via hole has an increasingly high aspect ratio. Accordingly, the photo-resist pattern used to define via holes becomes more sophisticated, and therefore has a poor adhesion to the dielectric layer. This makes the photo-resist pattern collapse easily during the etching process. During lithography process, striation phenomena was random occurred on wafer edge which results from high aspect ratio and pattern density-depend issued during photo resistant-coating process for thick metal layer, as Fig3. This striation phenomenon is not

7 easy to observe by in microscope checking pattern CD (Critical Dimension) on semiconductor process line. However, the phenomena will cause serious defects and yield loss after WAT (Wafer Accept Test). The liquid-coating striation causes not flat on coated material, which will cause pattern missing and collapse on lithograph and etching process. Accordingly, the photo-resistant pattern is tightly fastened during the etching process, and thus the trench is perfectly formed as desired. The striation phenomena were distributed radically, which is key factor on low-yield and pattern missing. The abnormal pattern issue results in influence of serious defects line process development control strategies Defects monitor Pattern Missing Fig.3 Striation and defects result from pattern missing around wafer The integration of new BEOL low-k dielectrics and copper, for example, continue to present many technical challenges. Whether it's in the front end or the back end of the process line, the cleaning, stripping, and other preparation of the wafer surface becomes more challenging as geometries shrink to 65 and 45 nm and beyond. The majority of BEOL challenges fall into the yield and reliability category and can be the most difficult to diagnose and solve. These issues include pattern missing, peeling, dielectric breakdown, and defectivity. As damascene structure, a photo-resist pattern missing, etching selectivity and chemical mechanical polish are especially challengeable during damascene structure of wafer fabrication. As BEOL film stack depends on the electrical device required and module process needed, the dielectric film buffer is extreme high for etching selectivity and CMP buffer loss as Fig.4. Consequently, the high film stack and high high-aspect-ratio line used in damascene processes of BEOL manufacturing challenges. SiOx Process buffer ~2000A Etching process loss buffer~ 1000A CMP process loss buffer~1000 A SiOx SiNx SiOX SiNx Trench~6500 A VIA~6500A Copper Copper Unit:A Fig.4 Semiconductor film deposition module process buffer and physical structure after BEOL copper CMP process

8 BEOL dual damascene lithography Gap-filling process is a technique that has many applications in semiconductor production. In semiconductor manufacturing, the gap-filling process is often used after openings are formed. Different material is deposited into the openings according to the type of structure desired. As the method of forming damascene structures, dielectric layer has a plurality of via holes. Gap filler is formed into each via hole. Subsequently, a first anti-reflective coating (ARC) film and a second ARC film are consecutively formed on the dielectric layer. A photo-resist pattern for defining a trench pattern is formed on the second ARC film. Following that, an etching process is performed to remove an upper part of the dielectric layer left uncovered by the photo-resist pattern to form a plurality of trenches. For the process buffering of etching and CMP (Chemical Mechanical Polishing) process losses, the dielectric film layer deposition is extremely high, consequently, the aspect ratio always over 2. After back-end-of-line (BEOL) etching, the selectivity of removing resist and residues with regard to removing low-k and without degrading the dielectric properties is very challenging. For the etching rate and etching selectivity buffer on dielectric film and photo resistant property, the thickness of photo resistance is always extremely higher than dielectric film thickness. For the etching loading effects and etching selectivity issue, pattering top rounding, and trenching pattern abnormal, which will result in defects increased, pattern missing and device mismatch. Fig.5 and Fig6 were also shown the etching abnormal on interconnects pattern developing. The lithographic evaluation showed that the lithographic performance was good, but with much higher etching resistance and higher etch selectivity to the bottom. Trench Pattern Lithography Process Etching PR GFP PR GFP Pattern abnormal Fig.5 Trench pattern develop process issue-trench top and rounding Dense pattern center Edge C Isolation pattern center Edge Fig.6 Comparison of trench pattern on process issue on isolation and dense pattern

9 Accordingly, the photo-resist pattern developed later will have a better and sharp shape as desired. Via hole is filled with a gap filler prior to forming the trench, therefore the edge of via hole is not damaged during the etching process for forming the trench. In addition, photo-resist and ARC films are consecutively formed over the dielectric layer for not only absorbing light beams in the exposure process, but particularly for increasing the adhesion of the photo-resist pattern. Accordingly, the photo-resist pattern is tightly fastened during the etching process, and thus the trench is perfectly formed as desired. As feature sizes continue to shrink BEOL processes are required to deliver high resolution and, sufficiently wide process windows. Considering the etching selectivity, loading effects, and aspect ratio, the photo-resistant easily to collapse after lithography developed process. Fig.7 shows the in-line process abnormal pattern phenomena check. The abnormal pattern collapse phenomena were shown in the right part, which results in the electrical pattern missing and open during wafer electrical accept test and yield loss in mass production. Consequently, Fig7 indicates the dense patterns were easy to collapse than isolation interconnect pattern. Therefore, the etch selectivity in pattern transfer becomes a critical concern from lithography photo resistant pattern developing. The abnormal process development phenomena indicate that photo-resistant abnormal phenomena may result pattern top rounding, electrical bridge, and pattern collapse on pattern developing process. The photo-resistant remain effects the pattern physical structure, moreover, the photo-resistant remain of dense pattern issues shown as Fig.5 to 7 the cross section of isolation and dense pattern. Top View PR Cross-section View Isolation v.s. Dense pattern Fig.7 Trench pattern abnormal process-photo resistant collapse on dense pattern Accordingly, the object of the present invention is to provide a gap-filling process capable of producing a gap-filling material layer with an improved surface planarity so that a subsequently formed bottom anti-reflection coating or photo-resist layer over the gap-filling material layer also has a better surface planarity. A substrate having a dielectric layer thereon is provided. The dielectric layer has an opening therein. A gap-filling material layer is formed over the dielectric layer and inside the opening. A portion of the gap-filling material is removed from the gap-filling material layer to expose the dielectric layer. A gap-filling material treatment of the surface of the gap-filling material layer and the dielectric layer is carried out to planarize the gap-filling material layer so that a subsequently formed bottom anti-reflection coating or material layer over the gap-filling material layer can have a high degree of planarity. However, as pattern density and aspect ration increased, photo-resistant recess issue occurred around the density patterns as Fig 8. Gap fill resistant recess issue will result in photo-resistant deeply recess and not enough.

10 Photo resistant gap fill Photo resistant Coating treatment PR Fig.8 Photo-resistant gap fill striation mechanism The gap-filling material treatment may include etching the dielectric layer and the gap-filling material layer to planarize the gap-filling material layer. In addition, the gap-filling material treatment may also include performing a plasma processing, an ultraviolet curing or a chemical immersion of the gap-filling material layer to form a protective layer over the gap-filling material layer. Furthermore, the gap-filling material treatment may involve etching the dielectric layer and the gap-filling material layer to planarize the gap-filling material layer and then performing a plasma processing, an ultraviolet curing or a chemical immersion of the gap-filling material layer to form a protective layer over the gap-filling material layer. To achieve these and other advantages and in accordance with the purpose of this stduy, as embodied and broadly described herein, the invention provides a gap-filling process. A substrate having a dielectric layer thereon is provided. An opening is formed in the dielectric layer. A gap-filling material layer is formed over the dielectric layer and inside the opening. A portion of the gap-filling material layer is removed to expose the dielectric layer. In present study, we show the result of evaluating sub-micro BEOL integration process by using the test patterns that can cover critical layout situations. Especially, it was focused on the new integrated process. The key steps in our process integration and improvement decomposition methodology are design attribute and process space analysis. By exploring the process integration, method allows to overcome the most challenging patterns to print due to various process issues. Accordingly, The gap-filling material treatment may include etching the dielectric layer and the gap-filling material layer to planarize the gap-filling material layer. In addition, the gap-filling material treatment may also include performing a plasma processing, an ultraviolet curing or a chemical immersion of the gap-filling material layer to form a protective layer over the gap-filling material layer. Furthermore, the gap-filling material treatment may involve etching the dielectric layer and the gap-filling material layer to planarize the gap-filling material layer and then performing a plasma processing, an ultraviolet curing or a chemical immersion of the gap-filling material layer to form a protective layer over the gap-filling material layer. In this investigation, the gap-filling material layer is etched to form a planar surface. Hence, any layer deposited over the gap-filling material layer can have a high level of planarity that facilitates the formation of a correct pattern in subsequent photolithographic and etching operation. Since a protective layer is formed over the gap-filling material layer, intermixing of material between the bottom anti-reflection coating or the photo-resist layer with the gap-filling material layer is stopped. Thus, the gap-filling material layer and the bottom anti-reflection coating or the photo-resist layer can have a high degree of surface planarity. Ultimately, an accurate pattern is reproduced after a photolithographic and etching operation. The invention of BEOL process integration was brief summary as in Fig.9. Between photo resistant and BARC coating, the photo resistant (Gap Fill for pattern) treatment by light etching to round

11 via trench edge and lightly level surface before photo resistant coating and pattern development. Photo resistant gap fill Add Etching / CMP process treatment dielectric film surface Etching Treatment Surface BARC / Photo resistant Coating for trench process PR Fig.9 A Metrology Nano technology on Lithography Gap Fill Processes In this process integration study, the gap-filling material layer is etched to form a planar surface. Hence, any layer deposited over the gap-filling material layer can have a high level of planarity that facilitates the formation of a correct pattern in subsequent photolithographic and etching operation. In summary, this invention sets up a gap-filling material treatment to etch back the gap-filling material layer so that the gap-filling material layer and the cap layer (also the dielectric layer) on each side of the depressed cavity in the upper surface is removed. Since the gap-filling material layer has a plane upper photolithographic and etching processes. Since the gap-filling material layer and the subsequently formed bottom anti-reflection coating or material layer have a high degree of planarity, a correct pattern is reproduced after photo lithographic and etching processes. After new gap fill process integration metrology developed, there is not any pattern collapses and mission on dense and isolated pattern around wafer center and edge on process even high aspect ratio metal interconnect. Fig.10 indicates the pattern the high-density pattern after lithography process ADI (After Development Inspection) and ADI (After Etching Inspection) on process in-line check points. There is no pattern collapse, missing phenomena found. The top rounding on metal interconnects and pattern short, which results from absence of lithography photo-resistant thickness or photo-resistant development collapse from pattern high aspect ration need were also recovered by present process integration metrology. Figure 11 was shown the lithography photo-resistant development remains are enough for etching process even dense density pattern. After via etching process, via interconnects profile are good, and the etching selectivity issue by photo-resistant was not found. As electrical device needs, the semiconductor BEOL process is challengeable and marginal in module process integration. Moreover, the physical dimension of interconnects trench pattern were also shown in Fig.12. From SEM cross-section check, the top and bottom trench patterns were not found rounding and abnormal physical geometry, the may not results in electrical device mismatch. After semiconductor copper metal process, the TEM physical cross-sections were also checks on copper meal interconnects as Fig.13 shown. From Fig.10 to Fig 13, there is no pattern missing and abnormal physical geometry on interconnect line by step-by-step in-line check and verification.

12 ADI AEI C M B C M B Fig.10 In-line inspection after lithography and etching process Fig.11 In-line pattern cross-section inspection after via etching process View A View B Fig12 In-line pattern cross-section inspection after trench etching process Center Edge Fig.13 In-line pattern TEM cross-section inspection after copper process For the electrical test verification, the electrical open/short and resistance were also included in present study. This test pattern is always to check the semiconductor process in-line electrical and physical checking. The V D =1V, V S =V SUB =0V, then electrical resistance (Rc) measured as Fig.14. Fig.15 indicates electrical device test on open/short for copper metal trace line for electrical device requirements. These data distribute tight and no open electrical test data found. To prevent the metal short of the isolated pattern next to or surrounding by the wide metal, the minimum space with wide neighboring metal was defined. Using this proposed process integration methodology, semiconductor lithography gap fill process has been successfully evaluated and optimized. Furthermore, the

13 metrology was adopted in semiconductor process. PAD PAD VD=1V VS=0V Fig.14 Electrical device open/short pattern Wafer 1 Wafer 2 Wafer 3 Fig.15 Electrical device open/short data comparison CONCLUSIONS Accordingly, one object of the present invention is to provide a gap-filling process capable of producing a gap-filling material layer with an improved surface planarity so that a subsequently formed bottom anti-reflection coating or photo-resist layer over the gap-filling material layer also has a better surface planarity. In summary, this invention sets up a gap-filling material treatment to etch back the gap-filling material layer so that the gap-filling material layer and the cap layer (also the dielectric layer) on each side of the depressed cavity in the upper surface is removed. Since the gap-filling material layer has a plane upper surface, any subsequently formed bottom anti-reflection coating or material layer can have a similar high degree of planarity. Ultimately, a correct pattern is reproduced after photo lithographic and etching processes. The pattern collapse and striation phenomena would be overcome during wafer fabrication processes. Consequently, the productivity yield will be also increased. ACKNOWLEDGMENT The author gratefully acknowledge supporting and assistance of NSC E in this study REFERENCES [1] Jeng et al., BEOL process integration of 65nm Cu/low k interconnects, Interconnect Technology Conference, Proceedings of the IEEE 2004 International Volume, Issue, 7-9, pp , 2004.

14 [2] Koba. et al., Tri-layer resists process for fabricating 45-nm L&S patterns by EPL, Proceedings of the SPIE, Volume 6151, pp , [3] Nohdo et al., BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithography, Proceedings of the SPIE, Volume 5751, pp , [4] Masazumi et al., Process Optimization of UV Curing for Ultra Low-k Dielectrics and High-Stress SiN Liners, Materials, Processes, Integration and Reliability in Advanced Interconnects for Micro- and Nano-electronics, MRS Proceedings Volume 990, [5] Lee et al., Resist pattern collapse with top rounding resist profile, Micro-processes and Nano-technology Conference, Digest of Papers. Micro processes and Nano-technology, [6] Chris A. Mack, The Lithography Expert: Pattern collapse, Micro-lithography World November, [7] Tilke, A.T. et al., STI Gap-Fill Technology with High Aspect Ratio Process for 45nm CMOS and beyond, Advanced Semiconductor Manufacturing Conference, ASMC The 17th Annual SEMI/IEEE, Page(s):71 76, [8] Su, Y.N et al, Low k damage control & its reliability for organic hybrid dual damascene, Physical and Failure Analysis of Integrated Circuits, IPFA Proceedings of the 11th International Symposium on the 5-8 July 2004 Page(s):69 70, [9] Edelstein, Daniel C., Advanced Cu/Low-k BEOL Integration, Reliability, and Extendibility, International Interconnect Technology Conference, IEEE 2007, /IITC , [10] Chen et al., Defect reduction of copper BEOL for advanced ULSI interconnect, Interconnect Technology Conference, Interconnect Technology Conference, Proceedings of the IEEE 2001 International4-6 June 2001 Page(s):21 23, [11] Leavey, J. Boyle, J. Skumanich, A., Advanced process control based on lithographic defect inspection and reduction, Advanced Semiconductor Manufacturing Conference and Workshop, 2000 IEEE/SEMI, Sept. 2000, page(s): 33 40, [12] Peterson, I. Stoller, M. Gudmundsson, D. Nurani, R. Ashkenaz, S. Breaux, L., Comprehensive cost-effective photo defect monitoring strategy, Semiconductor Manufacturing Symposium, 2001 IEEE International, page(s): 67 70, 2001 [13] Lee, F. Newtran, M. Hulseweh, T., Advanced Semiconductor Manufacturing Conference and Workshop, 2000 IEEE/SEMI, Sept. 2000, page(s): [14]C.J. Weng et al., Gap-filling process, United States Patent 6,833,318, Dec., [15]C.J. Weng et al., Gap-filling process, China Patent CN C, Oct, [16] C.J. Weng, A Novel Sub-Micron Semiconductor Gap Fill Integrated Processes, No.61, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Tainan, Taiwan on Dec , [17] C.J. Weng et al., A Metrology of Semiconductor BEOL Nano-Technology Lithography and Gap Fill Processes Integration, D20, The 7th International Semiconductor Technology Conference (ISTC2008), Shanghai, China, March 15-17, 2008 [18] C.J. Weng et al., Method of forming damascene structures, United States Patent 7,189,640 Mar., 2007.

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

(12) Patent Application Publication (10) Pub. No.: US 2001/ A1

(12) Patent Application Publication (10) Pub. No.: US 2001/ A1 US 2001 OO10407A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2001/0010407 A1 Ker et al. (43) Pub. Date: (54) LOW-CAPACITANCE BONDING PAD FOR (30) Foreign Application Priority

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

(ΐ2) United States Patent

(ΐ2) United States Patent US009627440B2 US009627440B2 (ΐ2) United States Patent Russo et al. (ΐο) Patent No.: (4) Date of Patent: Apr. 18, 17 (4) PHASE CHANGE MEMORY APPARATUSES (71) Applicant: Micron Technology, Inc., Boise, ID

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

EE141- Spring 2003 Lecture 3. Last Lecture

EE141- Spring 2003 Lecture 3. Last Lecture - Spring 003 Lecture 3 IC Manufacturing 1 Last Lecture Design Metrics (part 1) Today Design metrics (wrap-up) IC manufacturing 1 Administrivia Discussion sessions start this week. Only one this week (Dejan

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA tudy of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer cience, Inc. 241 Brewer Dr., Rolla, MO 6541, UA ABTRACT The topography of a back-end wafer contains high-aspect

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

(12) United States Patent (10) Patent No.: US 6,365,505 B1

(12) United States Patent (10) Patent No.: US 6,365,505 B1 USOO6365505B1 (12) United States Patent (10) Patent No.: US 6,365,505 B1 Wang et al. (45) Date of Patent: Apr. 2, 2002 (54) METHOD OF MAKING ASLOT VIA FILLED 6,127,258 A * 10/2000 Watanabe et al... 438/637

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Joke De Messemaeker, Stijn Put, Daniël Nelis, Dirk Van Genechten, Paul Lippens, Yves Van Rompaey and Yvan Strauven Umicore

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

I IIIII IIIII lll (IO) Patent No.: US 7,165,566 B2. (45) Date of Patent:

I IIIII IIIII lll (IO) Patent No.: US 7,165,566 B2. (45) Date of Patent: I 1111111111111111 11111 1111111111 111111111111111 IIIII IIIII lll111111111111111 US007165566B2 c12) United States Patent Beebe (IO) Patent No.: US 7,165,566 B2 (45) Date of Patent: Jan.23,2007 (54) METHOD

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES SHENG F. YEN 1, HAROON LAIS 1, ZHEN YU 1, SHENGDONG LI 1, WILLIAM C. TANG 1,2, AND PETER J. BURKE 1,2 1 Electrical Engineering

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

A Temporary Bonding and Debonding Technology for TSV Fabrication

A Temporary Bonding and Debonding Technology for TSV Fabrication A Temporary Bonding and Debonding Technology for TSV Fabrication Taku Kawauchi, Masatoshi Shiraishi, Satoshi Okawa, Masahiro Yamamoto Tokyo Electron Ltd, Japan Taku Kawauchi, Tokyo Electron Ltd./Slide

More information

PREFERRED RELIABILITY PRACTICES. Practice:

PREFERRED RELIABILITY PRACTICES. Practice: PREFERRED RELIABILITY PRACTICES Practice No. PD-ED-1239 Page 1 of 6 October 1995 SPACECRAFT THERMAL CONTROL COATINGS DESIGN AND APPLICATION Practice: Select and apply thermal coatings for control of spacecraft

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION METROLOGY TABLE OF CONTENTS Scope... 1 Infrastructure Needs... 2 Difficult Challenges... 2 Technology Requirements... 4 Measurements for

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

PFA HP Plus GBK Lining Sheet

PFA HP Plus GBK Lining Sheet Safeguarding process purity Dupont has enhanced Teflon PFA HP in such a way that gives Teflon PFA HP Plus the same unsurpassed purity but have improved mechanical toughness and stress crack resistance,

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Resonance Reduction In PCBs Utilising Embedded Capacitance

Resonance Reduction In PCBs Utilising Embedded Capacitance Resonance Reduction In PCBs Utilising Embedded Capacitance The number of applications using embedded capacitor technology on printed wiring boards (PWBs) is on the rise. Two such applications are high-speed

More information

Lecture 16 Chemical Mechanical Planarization

Lecture 16 Chemical Mechanical Planarization Lecture 16 Chemical Mechanical Planarization 1/75 Announcements Term Paper: The term paper should be handed in today: Tuesday 21 st November. The term paper will be returned to you in class on Tuesday

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given Supplementary Figure 1. Pressure sensor fabrication schematics. Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given in Methods section. (a) Firstly, the sacrificial

More information

Minimizing implant-induced damage in GaAs ICs

Minimizing implant-induced damage in GaAs ICs InVac transfer Arm for the Axcelis NV8250. Source: Axcelis Technologies Minimizing implant-induced damage in GaAs ICs Introducing an electron-shower current in an ion-implanter eliminates charge-induced

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 51 (2011) 1582 1586 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage www.elsevier.com/locate/microrel Impact of irregular geometries

More information

Gold wire bonding on Low-k Material A new challenge for interconnection technology

Gold wire bonding on Low-k Material A new challenge for interconnection technology Gold wire bonding on Low-k Material A new challenge for interconnection technology Ralph Binner Andreas Schopper ESEC (Asia Pacific) Pte Ltd Jimmy Castaneda SPT Asia Pte. Ltd 1. Introduction The gold wire

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

(12) Patent Application Publication (10) Pub. No.: US 2005/ A1

(12) Patent Application Publication (10) Pub. No.: US 2005/ A1 (19) United States US 2005OO18526A1 (12) Patent Application Publication (10) Pub. No.: US 2005/0018526A1 Lee (43) Pub. Date: Jan. 27, 2005 (54) PHASE-CHANGE MEMORY DEVICE AND MANUFACTURING METHOD THEREOF

More information

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design Tamkang Journal of Science and Engineering, Vol. 12, No. 4, pp. 399 407 (2009) 399 Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System

More information

New Die Attach Adhesives Enable Low-Stress MEMS Packaging

New Die Attach Adhesives Enable Low-Stress MEMS Packaging New Die Attach Adhesives Enable Low-Stress MEMS Packaging Dr. Tobias Königer DELO Industrial Adhesives DELO-Allee 1; 86949 Windach; Germany Tobias.Koeniger@DELO.de Phone +49 8193 9900 365 Abstract High

More information

(22) Filed: Feb. 22, 2000 Primary Examiner-Carl Whitehead, Jr. 30 F Application O O P tv Dat. ASSistant Examiner Toniae M. Thomas

(22) Filed: Feb. 22, 2000 Primary Examiner-Carl Whitehead, Jr. 30 F Application O O P tv Dat. ASSistant Examiner Toniae M. Thomas (12) United States Patent Hwang et al. USOO6479343B1 (10) Patent No.: (45) Date of Patent: Nov. 12, 2002 (54) DRAM CELL CAPACITOR AND MANUFACTURING METHOD THEREOF (75) Inventors: Yoo-Sang Hwang, Suwon;

More information

Liquefaction Resistance and Internal Erosion Potential of Non-Plastic Silty Sand

Liquefaction Resistance and Internal Erosion Potential of Non-Plastic Silty Sand Liquefaction Resistance and Internal Erosion Potential of Non-Plastic Silty Sand Jing-Wen CHEN 1, Wei F. LEE 2, Chun-Chi CHEN 3 1 Professor, Department of Civil Engineering, National Chen-Kung University

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Nanoscale Issues in Materials & Manufacturing

Nanoscale Issues in Materials & Manufacturing Nanoscale Issues in Materials & Manufacturing ENGR 213 Principles of Materials Engineering Module 2: Introduction to Nanoscale Issues Top-down and Bottom-up Approaches for Fabrication Winfried Teizer,

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Resistance Post-Trim Drift Index for Film Resistors to be Trimmed Abstract Introduction

Resistance Post-Trim Drift Index for Film Resistors to be Trimmed Abstract Introduction Resistance Post-Trim Drift Index for Film Resistors to be Trimmed (K. Schimmanz, Numerical and Applied Mathematics, Technical University Cottbus, P.O. Box 10 13 44, Cottbus 03013 Germany schimm@math.tu-cottbus.de

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information