Nanostructures Fabrication Methods

Size: px
Start display at page:

Download "Nanostructures Fabrication Methods"

Transcription

1 Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of complex nanostructures; the useful size of the building blocks depends on the properties to be engineered. By altering the size of the building blocks, controlling their surface and internal chemistry, and then controlling their organization and assembly, it is possible to engineer properties and functionalities of the overall nanostructured solid or system. These processes are essentially highly controlled, complex chemical syntheses. top-down processes (removal of reformation of atoms to create the desired structure) Top-down approaches are inherently simpler and rely either on the removal or division of bulk material, or on the miniaturization of bulk fabrication processes to produce the desired structure with the appropriate properties.

2 Top-down processes Top-down processes are effectively examples of solid-state processing of materials milling lithographic processes machining

3 Milling Mechanical attrition or mechanical alloying Microstructures and phases produced in this way can often be thermodynamically metastable

4 Conventional lithographic processes are akin to the emulsion-based photographic process and can be used to create nanostructures by the formation of a pattern on a substrate via the creation of a resist on the substrate surface. Visible or UV light X-rays Electrons or ions to project an image containing the desired pattern onto a surface coated with a photoresist material The resist material, typically a polymer, metal halide or metal oxide, is chemically changed during irradiation, often altering the solubility or composition of the exposed resist.

5 Pattern transfer processes Solution-based wet chemical etching procedures Dry etching in a reactive plasma (reactive ion etching RIE, chemically assisted ion beam etching CAIBE) Doping using ion implantation techniques Thin film deposition Fundamentally, the wavelength of the radiation used in the lithographic process determines the detail in the resist and hence the final planar nanostructure; additional considerations may involve the limitations of the projection optics and the nature of the interaction of the radiation with the resist material. Typically the resolution ranges from a few hundred nanometres for optical techniques to tens of nanometres for electron beam techniques. Phenomenologically, throughput and resolution of lithographic techniques broadly follow a power-law dependence; the resolution is approximately equal to 23A 0.2, where A is the areal throughput.

6

7 Schematic representation of the photolithographic process sequences, in which images in the mask are transferred to the underlying substrate surface. d The theoretical resolution capability of shadow photolithography with a mask consisting of equal lines and spaces of width b due to diffraction is given by: s =400 nm, d=1 μm, resolution slightly less than 1 μm In contact-mode photolithography, the mask and wafer are in intimate contact, and thus this method can transfer a mask pattern into a photoresist with almost 100% accuracy and provides the highest resolution. However, the maximum resolution is seldom achieved because of dust on substrates and non-uniformity of the thickness of the photoresist and the substrate. Such problems can be avoided in proximity printing, in which, a gap between the mask and the wafer is introduced. However, increasing the gap degrades the resolution by expanding the penumbral region caused by diffraction. In projection printing techniques, lens elements are used to focus the mask image onto a wafer substrate, which is separated from the mask by many centimeters. Because of lens imperfections and diffraction considerations, projection techniques generally have lower resolution capability than that provided by shadow printing

8 Deep Ultra-Violet lithography (DUV) - wavelengths below 300 nm Technical challenges: Lower output in DUV (10-20 watts, KrCl and KrF excimer lasers 222 nm and 249 nm) With DUV, optical lithography allows one to obtain patterns with a minimal size of loonm Extreme UV (EUV) lithography with wavelengths in the range of nm has also been explored for fabricating features with even smaller dimensions and is a strong candidate for achieving dimensions of 70nm and below. Problems: refractive in this wavelength regime is very strong, and refractive lens can not be used. Phase-shifting lithography

9 Parallel lines formed in photoresist using near field contact-mode photolithography have widths on the order of 100 nm and are -300 nm in height as imaged by (A) AFM and (B) SEM. [J.A. Rogers, K.E. Paul, R.J. Jackman, and G.M. Whitesides,.J Vac. Sci. Technol. B16, 59 (1998).]

10 Electron beam lithography Electron beams can be focused to a few nanometers in diameter and rapidly deflected either electromagnetically or electrostatically. Electrons possess both particle and wave properties; however, their wavelength is on the order of a few tenths of angstrom, and therefore their resolution is not limited by diffraction considerations. Resolution of electron beam lithography is, however, limited by forward scattering of the electrons in the resist layer and back scattering from the underlying substrate. Nevertheless, electron beam lithography is the most powerhl tool for the fabrication of feathers as small as 3-5 nm. Four typical subsystems: (i) Electron source (gun) (ii) Electron column (beam forming system) (iii) Mechanical stage (iv) Control computer

11 X-ray lithography X-rays with wavelengths in the range of 0.04 to 0.5 nm represent another alternative radiation source with potential for high-resolution pattern replication into polymeric resist materials (a) 35 nm wide Au lines grown by electroplating using a template fabricated by X-ray lithography. The mean thickness is about 450 nm, which corresponds to an aspect ratio close to 13. (b) 20 nm wide W dots obtained after reactive ion etching of 1250nm thick W layer. [G. Simon, A.M. Haghiri-Gosnet, J. Bourneix, D. Decanini, Y. Chen, F. Rousseaux, H. Launios, and B. Vidal,.I Vac. Sci. Techno/. B15, 2489 (1997).]

12 Focused ion beam (FIB) lithography FIB lithography is capable of producing electronic devices with submicrometer dimensions Ions with energy in the MeV range, scattering is much more less But: lower throughput, substrate damage SEM image showing a regular array of 36 gold pillars in each corresponding to an individual ion beam spot created using chemical assisted FIB deposition. [A. Wargner, J.P. Levin, J.L. Mauer, PG. Blauner, S.J. Kirch, and P. Longo,J. Vuc. Sci. Technol. B8, 1557 (1990).]

13 Neutral atomic beam lithography In neutral atomic beams, no space charge effects make the beam divergent; therefore, high kinetic particle energies are not required. Diffraction is no severe limit for the resolution because the de Broglie wavelength of thermal atoms is less than 1 angstrom. These atomic beam techniques rely either on direct patterning using light forces on atoms that stick on the surface or on patterning of a special resist Schematic illustrating the basic principles of neutral atom lithography with light forces. [B. Brezger, Th. Schulze, U. Drodofsky, J. Stuhler, S. Nowak, T. Pfau, and J. Mlynek, J. Vac. Sci. Technol. B15, 2905 (1997).] SEM image showing chromium nanowires of 64nm on silicon substrate grown by neutral atomic beam deposition with laser forces [ibid.]

14 Soft lithography techniques pattern a resist by physically deforming (or embossing) the resist shape with a mould or stamp, rather than by modifying the resist chemical structures with radiation as in conventional lithography. Additionally the stamp may be coated with a chemical that reacts with the resist solely at the edges of the stamp. These methods circumvent many of the resolution limitations inherent in conventional lithographic processes that arise due to the diffraction limit of the radiation, the projection or scanning optics, the scattering process and the chemistry within the resist material. Ultimately, nanoimprinting should represent a cheaper process for mass production. Currently, these soft lithography techniques can produce patterned structures in the range 10 nm and above. One of the main limitations on resolution arises from plastic flow of the polymeric materials involved. Master moulds may be fabricated using either conventional lithographic techniques, micromachining or naturally occurring surface relief on the substrate materials.

15 Machining Lithographic techniques essentially consist of a two-dimensional chemical or mechanical patterning of the surface of a material. Three-dimensional patterning of a material can be achieved by techniques analogous to more conventional machining. Currently resolution limits are of the order 5 μm, but in recent year focused ion beams (FIB) and highintensity lasers have been used to directly pattern or shape materials at micron and submicron levels. Scanning electron microscope image of a multilevel gear structure created by focused ion beam sputtering of silicon

Nano Materials. Nanomaterials

Nano Materials. Nanomaterials Nano Materials 1 Contents Introduction Basics Synthesis of Nano Materials Fabrication of Nano Structure Nano Characterization Properties and Applications 2 Fabrication of Nano Structure Lithographic techniques

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Fabrication Technology for Miniaturization

Fabrication Technology for Miniaturization Appendix A Fabrication Technology for Miniaturization INTRODUCTION Many of the technologies that have enabled advances in miniaturization were first developed for microelectronics and allow both lateral

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Available online at Physics Procedia 32 (2012 )

Available online at  Physics Procedia 32 (2012 ) Available online at www.sciencedirect.com Physics Procedia 32 (2012 ) 525 531 18 th International Vacuum Congress The Improved Self-assembled Monolayer of Octadecyltrichlorosilane as Positive Resist for

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Nano-Lithography. Edited by Stefan Landis

Nano-Lithography. Edited by Stefan Landis Nano-Lithography Edited by Stefan Landis IST^ m WILEY Table of Contents Foreword Jörge DE SOUSA NORONHA Introduction Michel BRILLOUET xi xvii Chapter 1. X-ray Lithography: Fundamentals and Applications

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Fabrication of micro-optical components in polymer using proton beam writing

Fabrication of micro-optical components in polymer using proton beam writing Fabrication of micro-optical components in polymer using proton beam writing Andrew A. Bettiol, Kambiz Ansari, Tze Chien Sum, Jeroen A. van Kan and Frank Watt Department of Physics, National University

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern

Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern transfer but before pattern shrinkage (middle), and after

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

Nano fabrication and optical characterization of nanostructures

Nano fabrication and optical characterization of nanostructures Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication and optical characterization of nanostructures Lecture 12 1 Optical characterization

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Nanoscale Issues in Materials & Manufacturing

Nanoscale Issues in Materials & Manufacturing Nanoscale Issues in Materials & Manufacturing ENGR 213 Principles of Materials Engineering Module 2: Introduction to Nanoscale Issues Top-down and Bottom-up Approaches for Fabrication Winfried Teizer,

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.254 Measurement of non-monotonic Casimir forces between silicon nanostructures Supplementary information L. Tang 1, M. Wang

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Emerging nanopatterning

Emerging nanopatterning Nanotechnology for engineers Winter semester 2006-2007 Emerging nanopatterning Soft-lithography: Microcontact printing Nanoimprint Lithography Stencil lithography Dip-Pen lithography / Nanoscale dispensing

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Chapter 3. Focused Ion Beam Fabrication

Chapter 3. Focused Ion Beam Fabrication Chapter 3. Focused Ion Beam Fabrication Academic and Research Staff Dr., Professor Dimitri A. Antoniadis, Professor Carl V. Thompson III, Patricia G. Blauner, Mark I. Shepard Dr. Collaborating Scientists

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013065 TITLE: Two-Dimensional Photonic Crystal Fabrication Using Fullerene Films DISTRIBUTION: Approved for public release,

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Paolo Vavassori. Ikerbasque, Basque Fundation for Science and CIC nanogune Consolider, San Sebastian, Spain.

Paolo Vavassori. Ikerbasque, Basque Fundation for Science and CIC nanogune Consolider, San Sebastian, Spain. Magnetic nanostructures Paolo Vavassori Ikerbasque, Basque Fundation for Science and CIC nanogune Consolider, San Sebastian, Spain. P. Vavassori nano@nanogune.eu I www.nanogune.eu 1 Outline Part I Introduction.

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

CHARACTERIZATION of NANOMATERIALS KHP

CHARACTERIZATION of NANOMATERIALS KHP CHARACTERIZATION of NANOMATERIALS Overview of the most common nanocharacterization techniques MAIN CHARACTERIZATION TECHNIQUES: 1.Transmission Electron Microscope (TEM) 2. Scanning Electron Microscope

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Microelectronic Engineering

Microelectronic Engineering Microelectronic Engineering 87 (2010) 899 903 Contents lists available at ScienceDirect Microelectronic Engineering journal homepage: www.elsevier.com/locate/mee A versatile pattern inversion process based

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy Jing-jiang Yu Nanotechnology Measurements Division Agilent Technologies, Inc. Atomic Force Microscopy High-Resolution

More information

1.0 Introduction. 1.1 Nanotechnology Historical Developments

1.0 Introduction. 1.1 Nanotechnology Historical Developments 1.0 Introduction 1.1 Nanotechnology Historical Developments Around 370BC, Democritus a Greek philosopher developed the atomic theory of matter. Nano in GREEK means DWARF. The prefix nano means a billionth

More information

Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures

Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures Supporting Information Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures Xiaobin Xu, 1,2 Qing Yang, 1,2 Natcha Wattanatorn, 1,2 Chuanzhen

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Crystalline Surfaces for Laser Metrology

Crystalline Surfaces for Laser Metrology Crystalline Surfaces for Laser Metrology A.V. Latyshev, Institute of Semiconductor Physics SB RAS, Novosibirsk, Russia Abstract: The number of methodological recommendations has been pronounced to describe

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Chapter 12. Nanometrology. Oxford University Press All rights reserved. Chapter 12 Nanometrology Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands in relation to a meter and sub divisions of meter. Nanometrology

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Chapter 10: Wave Properties of Particles

Chapter 10: Wave Properties of Particles Chapter 10: Wave Properties of Particles Particles such as electrons may demonstrate wave properties under certain conditions. The electron microscope uses these properties to produce magnified images

More information

Lab1. Resolution and Throughput of Ion Beam Lithography.

Lab1. Resolution and Throughput of Ion Beam Lithography. 1 ENS/PHY463 Lab1. Resolution and Throughput of Ion Beam Lithography. (SRIM 2008/2013 computer simulation) Objective The objective of this laboratory work is to evaluate the exposure depth, resolution,

More information

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly I. Nanofabrication and Characterization : TOC I. NANOFABRICATION O AND CHARACTERIZATION Chap. 1 : Nanolithography Chap. 2 : Self-Assembly Chap. 3 : Scanning Probe Microscopy Nanoscale fabrication requirements

More information

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Yuanrui Li 1, Ahmed Abbas 1, Yuhan Yao 1, Yifei Wang 1, Wen-Di Li 2, Chongwu Zhou 1 and Wei Wu 1* 1 Department

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

Supporting file. Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal Si

Supporting file. Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal Si Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2018 Supporting file Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal

More information

Keywords- Focused Ion Beams, Nanostructuring, Polymers, Functionalization, Electron Microscopy, Surface Modification

Keywords- Focused Ion Beams, Nanostructuring, Polymers, Functionalization, Electron Microscopy, Surface Modification THE USE OF FOCUSED ELECTRON AND ION BEAMS FOR THE FUNCTIONALIZATION AND NANOSTRUCTURING OF POLYMER SURFACES 1 MELTEM SEZEN, 2 FERAY BAKAN 1,2 SUNUM Sabanci University Turkey E-mail: 1 meltemsezen@sabanciuniv.edu,

More information

Nano Materials and Devices

Nano Materials and Devices Nano Materials and Devices Professor Michael Austin Platform Technologies Research Institute Nano Materials and Devices Program Aim: to develop an integrated capability in nanotechnology Design and modelling

More information