Photolithography II ( Part 1 )

Size: px
Start display at page:

Download "Photolithography II ( Part 1 )"

Transcription

1 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science and Technology ( NTNU )

2 2 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

3 3 Objectives After studying the material in this chapter, you will be able to: 1. Explain the purpose of alignment and exposure in photolithography. 2. Describe the properties of light and exposure sources important for optical lithography. 3. State and explain the critical aspects of optics for optical lithography. 4. Explain resolution, describe its critical parameters, and discuss how it is calculated. 5. Discuss each of the five equipment eras for alignment and exposure. 6. Describe reticles, explain how they are manufactured and discuss their use in microlithography. 7. Discuss the optical enhancement techniques for sub-wavelength lithography. 8. Explain how alignment is achieved in lithography.

4 4 Mask Aligners

5 5 Reticle Pattern Transfer to Resist UV light source Shutter Alignment laser Shutter is closed during focus and alignment and removed during wafer exposure Single field exposure, includes: focus, align, expose, step, and repeat process Reticle (may contain one or more die in the reticle field) Projection lens (reduces the size of reticle field for presentation to the wafer surface) Wafer stage controls position of wafer in X, Y, Z, θ) Figure 14.1 Quirk & Serda

6 6 Three Functions of Wafer Stepper 1. Focus and align the quartz plate reticle (that has the patterns) to the wafer surface. 2. Reproduce a high-resolution reticle image on the wafer through exposure of photoresist. 3. Produce an adequate quantity of acceptable wafers per unit time to meet production requirements.

7 7 Layout and Dimensions of Reticle Patterns 1) STI etch 2) P-well implant 3) N-well implant 4) Poly gate etch 5) N + S/D implant 6) P + S/D implant 7) Oxide contact etch 8) Metal etch Resulting layers Cross section Top view Figure 14.2 Quirk & Serda

8 8 Optical Lithography Light Interference of Light Waves Optical Filters Electromagnetic Spectrum

9 9 Light Wavelength and Frequency λ = v f λ v = velocity of light, m/sec f = frequency in Hertz (cycles per second) l = wavelength, the physical length of one cycle of a frequency, expressed in meters Laser Figure 14.3 Quirk & Serda

10 10 Wave Interference Constructive Destructive Waves in phase A Waves out of phase B A+B Figure 14.4 Quirk & Serda

11 11 Optical Filtration Broadband light Reflected wavelengths Coating 1 (non-reflecting) Coating 2 Secondary reflections (interference) Coating 3 Glass Transmitted wavelength Figure 14.5 Quirk & Serda

12 12 Ultraviolet Spectrum nm Ultraviolet spectrum λ (nm) nm Visible spectrum EUV VUV DUV Mid-UV Violet Blue Green Yellow Orange Red i h g Excimer laser Mercury lamp Yellow light is used as it doesn't affect the photoresist Photolithography light sources Figure 14.6 Quirk & Serda

13 13 Optical Lithography Exposure Sources Mercury Arc Lamp Excimer Laser Spatial Coherence Exposure Control

14 14 Emission Spectrum of Typical High Pressure Mercury Arc lamp Relative Intensity (%) Emission spectrum of high-intensity mercury lamp DUV 248 nm i-line 365 nm h-line 405 nm g-line 436 nm Wavelength (nm) Mercury lamp spectrum used with permission from USHIO Specialty Lighting Products Figure 14.7

15 15 Mercury Arc Lamp Intensity Peaks UV Light Wavelength (nm) Descriptor CD Resolution (µm) 436 g-line h-line i-line Deep UV (DUV) 0.25 Table 14.2 Quirk & Serda Exposure dose for typical i-line resist : 100 mj/cm 2. ( Depending on resist thickness and type ) => For UV light intensity of 10 mw/cm 2, an exposure time of 10 seconds is needed.

16 16 Excessive Resist Absorption of Incident Light Photoresist (after develop) Sloping profile Substrate Figure 14.9 Quirk & Serda Thumb-rule: <20% of UV light must be absorbed in the resist to get good shape of the sidewall

17 17 Spectral Emission Intensity of 248 nm Excimer Laser vs. Mercury Lamp KrF laser 100 Relative Intensity (%) Hg lamp Wavelength (nm) 280 Figure 14.8 Quirk & Serda

18 18 Excimer/exciplex Laser Sources for Semiconductor Photolithography Material Wavelengt h (nm) Max. Output (mj/pulse) Frequency (pulses/sec) Pulse Length (ns) CD Resolution (µm) KrF ArF F Table 14.3 Quirk & Serda ArF (193 nm) laser is used at present (2015) for 32 nm node technology. Excimer = excited dimer (F2) Exciplex = excited complex (KrF, ArF)

19 19 Spatial Coherence Black box illuminator Incoherent light source of a single wavelength Slit Two slits closely spaced Interference patterns Unlike conventional lasers, eximer lasers have LOW amount of spatial coherence Figure Coherent cylindrical wave front Two coherent cylindrical wave fronts

20 20 Lift Off Not in book, but will be used in the lab You can find it in the lab compendium (it s learning) Technique for metallization Apply the metal on top of photoresist

21 21 Lift Off Two methods to add metal Etch the metal using photolithography Apply photoresist on top of metal Lift off Apply photoresist under metal

22 22 Lift Off Photoresist Profile of sidewalls important Undercut is desired If undercut is available Photoresist should be 2x thickness of metal Else Photoresist should be 10x thickness of metal In the lab we will apply ~ nm metal thickness More photoresist undercut

23 23 Lift Off

24 24 Thank You

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Lab 10: Spectroscopy & the Hydrogen Atom Phy208 Fall 2008

Lab 10: Spectroscopy & the Hydrogen Atom Phy208 Fall 2008 Lab 10: Spectroscopy & the Hydrogen Atom Phy208 Fall 2008 Name Section This sheet is the lab document your TA will use to score your lab. It is to be turned in at the end of lab. To receive full credit

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Observation of Atomic Spectra

Observation of Atomic Spectra Observation of Atomic Spectra Introduction In this experiment you will observe and measure the wavelengths of different colors of light emitted by atoms. You will first observe light emitted from excited

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

EXPERIMENT 18 THE PHOTOELECTRIC EFFECT

EXPERIMENT 18 THE PHOTOELECTRIC EFFECT 220 18-1 I. THEORY EXPERIMENT 18 THE PHOTOELECTRIC EFFECT When light or other electromagnetic waves of sufficiently high frequency fall on a metal surface, they cause electrons to be emitted by the surface.

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

EE 434 Lecture 7. Process Technology

EE 434 Lecture 7. Process Technology EE 434 Lecture 7 Process Technology Quiz 4 How many wafers can be obtained from a 2m pull? Neglect the material wasted in the kerf used to separate the wafers. 2m And the number is. 1 8 3 5 6 4 9 7 2 1

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

EXPERIMENT 12 THE GRATING SPECTROMETER AND ATOMIC SPECTRA

EXPERIMENT 12 THE GRATING SPECTROMETER AND ATOMIC SPECTRA OBJECTIVES Learn the theory of the grating spectrometer Observe the spectrum of mercury and hydrogen Measure the grating constant of a diffraction grating Measure the Rydberg Constant EXPERIMENT THE GRATING

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Bound together separated by short distance Call this Excited

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency. Light We can use different terms to describe light: Color Wavelength Frequency Light is composed of electromagnetic waves that travel through some medium. The properties of the medium determine how light

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Complete all the identification fields below or 10% of the lab value will be deduced from your final mark for this lab.

Complete all the identification fields below or 10% of the lab value will be deduced from your final mark for this lab. Physical optics Identification page Instructions: Print this page and the following ones before your lab session to prepare your lab report. Staple them together with your graphs at the end. If you forgot

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

high energy state for the electron in the atom low energy state for the electron in the atom

high energy state for the electron in the atom low energy state for the electron in the atom Atomic Spectra Objectives The objectives of this experiment are to: 1) Build and calibrate a simple spectroscope capable of measuring wavelengths of visible light. 2) Measure several wavelengths of light

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Pre-lab Quiz/PHYS 224. Your name Lab section

Pre-lab Quiz/PHYS 224. Your name Lab section Pre-lab Quiz/PHYS 224 THE DIFFRACTION GRATING AND THE OPTICAL SPECTRUM Your name Lab section 1. What are the goals of this experiment? 2. If the period of a diffraction grating is d = 1,000 nm, where the

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

Chem 155 Midterm Exam Page 1 of 10 Spring 2010 Terrill

Chem 155 Midterm Exam Page 1 of 10 Spring 2010 Terrill Chem 155 Midterm Exam Page 1 of 10 ame Signature 1. Mercury (Hg) is is believed to be hazardous to human neurological health at extremely low concentrations. Fortunately EPA Method 45.7 cold vapor atomic

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Lab 5: Spectroscopy & the Hydrogen Atom Phy248 Spring 2009

Lab 5: Spectroscopy & the Hydrogen Atom Phy248 Spring 2009 Lab 5: Spectroscopy & the Hydrogen Atom Phy248 Spring 2009 Name Section Return this spreadsheet to your TA that will use it to score your lab. To receive full credit you must use complete sentences and

More information

Experiment O-2. The Michelson Interferometer

Experiment O-2. The Michelson Interferometer Experiment O-2 The Michelson Interferometer The Michelson interferometer is one of the best known and historically important interferometers. It is a very accurate length-measuring device and has been

More information

Atomic Spectra. d sin θ = mλ (1)

Atomic Spectra. d sin θ = mλ (1) Atomic Spectra Objectives: To measure the wavelengths of visible light emitted by atomic hydrogen and verify that the measured wavelengths obey the empirical Rydberg formula. To observe emission spectra

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not bond But when excited/ionized these atoms attract Bound

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

PHY410 Optics Exam #3

PHY410 Optics Exam #3 PHY410 Optics Exam #3 NAME: 1 2 Multiple Choice Section - 5 pts each 1. A continuous He-Ne laser beam (632.8 nm) is chopped, using a spinning aperture, into 500 nanosecond pulses. Compute the resultant

More information

Lecture 0. NC State University

Lecture 0. NC State University Chemistry 736 Lecture 0 Overview NC State University Overview of Spectroscopy Electronic states and energies Transitions between states Absorption and emission Electronic spectroscopy Instrumentation Concepts

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

Behavior of candidate organic pellicle materials under 157 nm laser irradiation Behavior of candidate organic pellicle materials under 157 nm laser irradiation A. Grenville Intel / International SEMATECH Austin, TX 78741-6499 V. Liberman, M. Rothschild, J.H.C. Sedlacek Lincoln Laboratory,

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

The Emission Spectra of Light

The Emission Spectra of Light The Emission Spectra of Light Objectives: Theory: 1.... measured the wavelength limits of the color bands in the visible spectrum, 2.... measured the wavelengths of the emission lines of the hydrogen Balmer

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Experiment 3 1. The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado

Experiment 3 1. The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado Experiment 3 1 Introduction The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado The Michelson interferometer is one example of an optical interferometer.

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

0. Table of contents. Author: Jaap Snijder

0. Table of contents. Author: Jaap Snijder Document nr. : JaaSni-20101209-01V01 Page nr. : 0 Author: Jaap Snijder 0. Table of contents 0. Table of contents... 0 1. Changes compared to previous versions... 1 2. Safety... 2 2.1 General... 2 2.2 Chemicals...

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Atomic Emission Spectra

Atomic Emission Spectra Atomic Emission Spectra Objectives The objectives of this laboratory are as follows: To build and calibrate a simple meter-stick spectroscope that is capable of measuring wavelengths of visible light.

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Lab 3-4 : Confocal Microscope Imaging of Single-Emitter Fluorescence and Hanbury-Brown and Twiss Set Up, Photon Antibunching

Lab 3-4 : Confocal Microscope Imaging of Single-Emitter Fluorescence and Hanbury-Brown and Twiss Set Up, Photon Antibunching Lab 3-4 : Confocal Microscope Imaging of Single-Emitter Fluorescence and Hanbury-Brown and Twiss Set Up, Photon Antibunching Mongkol Moongweluwan 1 1 Department of Physics and Astronomy, University of

More information

Coherence and width of spectral lines with Michelson interferometer

Coherence and width of spectral lines with Michelson interferometer Coherence and width of spectral lines TEP Principle Fraunhofer and Fresnel diffraction, interference, spatial and time coherence, coherence conditions, coherence length for non punctual light sources,

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Supplementary Figures

Supplementary Figures Supplementary Figures I n t e g r a l 2. 0 1 3 9 2. 0 4 1 5 0. 0 4 4 2 1. 0 0 0 0 1. 0 0 3 2 4. 1 0 0 6 2. 9 8 6 5 1 0. 1 9 4 8. 5 8. 0 7. 5 7. 0 6. 5 6. 0 5. 5 5. 0 4. 5 4. 0 ( p p m ) 3. 5 3. 0 2. 5

More information

Preview from Notesale.co.uk Page 1 of 38

Preview from Notesale.co.uk Page 1 of 38 F UNDAMENTALS OF PHOTONICS Module 1.1 Nature and Properties of Light Linda J. Vandergriff Director of Photonics System Engineering Science Applications International Corporation McLean, Virginia Light

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Wafer warpage detection during bake process in. photolithography

Wafer warpage detection during bake process in. photolithography Wafer warpage detection during bake process in photolithography Yang Kai (B.Eng) A THESIS SUBMITTED FOR THE DEGREE OF MASTER OF ENGINEERING DEPARTMENT OF ELECTRICAL & COMPUTER ENGINEERING NATIONAL UNIVERSITY

More information

Experiment #4 Nature of Light: Telescope and Microscope and Spectroscope

Experiment #4 Nature of Light: Telescope and Microscope and Spectroscope Experiment #4 Nature of Light: Telescope and Microscope and Spectroscope In this experiment, we are going to learn the basic principles of the telescope and the microscope that make it possible for us

More information

Laboratory #29: Spectrometer

Laboratory #29: Spectrometer INDIANA UNIVERSITY, DEPARTMENT OF PHYSICS, P309 LABORATORY Laboratory #29: Spectrometer Goal: Learn to adjust an optical spectrometer, use a transmission grating to measure known spectral lines of mercury,

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information