Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Size: px
Start display at page:

Download "Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process"

Transcription

1 Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology is an essential part of the mask manufacturing process. We present a metrology solution based on broadband reflectometry, covering a wavelength range from 190 to 1000 nm, in one nanometer intervals. The analysis is performed using Forouhi-Bloomer dispersion equations, in conjunctions with Rigorous Coupled Wave Analysis (RCWA). The method provides accurate and repeatable results for critical dimensions, thickness, and optical properties (n and k spectra from nm) for all materials present in the structure. In terms of throughput (several seconds per point) and suitability for integration, the method has many advantages over conventional metrology techniques. Measurements were performed on two masks, at two different stages of the mask manufacturing process After Etch Inspection (AEI) and After Strip Inspection (ASI). CD uniformity distribution maps at 121 points on the mask were obtained for 800 nm pitch grating arrays. The results were compared to conventional CD-SEM measurements collected at the same locations. A linearity study was conducted on 760 and 1120 nm pitch grating arrays with systematically increasing CD width. The results demonstrate excellent correlation with CD-SEM. Keywords: Optical metrology, critical dimension, broadband reflectometry, Forouhi-Bloomer dispersion equations, RCWA, CD uniformity, CD linearity 1. INTRODUCTION Manufacturing of an attenuated phase shift mask is a multiple-step process. At various stages of this manufacturing process, a photomask can consist of one or several thin film layers and trench structures. The typical films involved in the process are molybdenum silicide (MoSi), which is used as a phase shifting material; chromium and chromium oxide alloy, used as a hard mask; and photoresist, used for the patterning of the mask. The fabrication of a production-worthy phase shift mask requires, among other things, excellent uniformity of critical dimensions (trench width and depth) and optical properties of the phase shift material (MoSi). Traditionally, CD-SEM has been the instrument of choice for the measurement of width; atomic force profilometer (AFP) or conventional profilometer for the measurement of depth; and interferometer for the measurement of phase shift and transmittance of the phase shift material. Each one of these techniques has its drawbacks and limitations. CD-SEM, for instance, can only determine trench width at certain stages of the manufacturing process. Due to severe charging, the measurement becomes impossible for photoresist-on-chrome structures and quartz-only trench structures. AFP measurements are highly localized, and limited by the feature size. Interferometer measurements are only possible for patterned masks without chromium/chromium oxide, and require special test features. Furthermore, all of these techniques suffer a common drawback low throughput. We present a metrology method, based on broadband reflectometry, in conjunction with Forouhi-Bloomer dispersion equations and RCWA for measuring thickness and optical properties of thin films, as well as critical dimensions of trench structures. In terms of throughput (several seconds per point) and suitability for integration, the method has many advantages over conventional metrology techniques. Metrology, Inspection, and Process Control for Microlithography XX, edited by Chas N. Archie, Proc. of SPIE Vol. 6152, 61523C, (2006) X/06/$15 doi: / Proc. of SPIE Vol C-1

2 2. MEASUREMENT METHOD The instrument used for the measurement is a broadband reflectometer, used to collect continuous reflectance and transmittance spectra in the deep-uv through the near-ir wavelength range ( nm) in 1 nm intervals. The light source in the spectrophotometer is equipped with a rotating polarizer, which facilitates TE and TM polarization for the measurement beam. Hence, both reflectance and transmittance spectra can be obtained in two polarization modes (R s and R p, T s and T p ). In general, the presented method can be used with only one spectrophotometer (reflectance only) and with or without a polarizer. Addition of a transmittance spectrophotometer (only useful for samples on transparent substrates) and a polarizer facilitates extra raw data, which helps to constrain the calculation model and provide more stable and accurate results. Measured raw data (reflectance and transmittance) is analyzed using the Forouhi-Bloomer dispersion equations, in conjunction with RCWA, to extract the values of n and k, film thickness, and trench dimensions. The analysis model generates calculated reflectance and transmittance spectra using the nominal parameters, and then optimizes the values, using nonlinear regression analysis in order to obtain the best match between the measured and calculated spectra. Each parameter in the model can be either varied or fixed at a known value. Generally, it is not practical to vary the optical properties of the films present in the grating structure. In most cases n and k of the films can be obtained by collecting the measurements on the blanket areas of the sample. The determined optical properties then can be used in the model for the trench structure, reducing the number of variables in the model. In the case of the chromium, photoresist, and the phase shift materials (MoSi), the n and k spectra were pre-measured from the uniform area and then fixed during the CD measurement. 3. APPLICATION TO CRITICAL DIMENSIONS In the current study, the method described above was used to examine photomasks at two stages of mask manufacturing process: After Etch Inspection (AEI) and After Strip Inspection (ASI). Typical AEI mask consists of two thin film layers MoSi at the bottom and oxidized chromium at the top deposited on a fused silica substrate, with a pattern etched through both layer and into the substrate. The parameter of interest at this stage of the process is the width of trench etched in chromium. Typical ASI mask consists of one layer of phase shift material (MoSi) exposed as the result of chromium layer being stripped off the mask, with a pattern etched through the layer and into the substrate. The parameter of interest at this stage of the process is the width of trench etched in MoSi. After Etch Inspection (AEI) After Strip Inspection (ASI) CrOx Cr MoSi MoSi Quartz Quartz Measured Parameters: n and k spectra ( nm) for quartz, MoSi, Cr and CrO x. Thickness of MoSi, Cr and CrO x. Trench width. Measured Parameters: n and k spectra ( nm) for quartz and MoSi. Thickness of MoSi. Trench width. Proc. of SPIE Vol C-2

3 4. GLOBAL CD UNIFORMITY STUDY Both reticles were measured at 121 locations across the mask (11 by 11 map) in order to examine uniformity across the sample. Both TE and TM polarized light were used to collect the spectra of reflectance between 190 and 1000 nm at near-normal incidence. Obtained TE and TM reflectance spectra were then analyzed simultaneously using RCWA method in conjunction with Forouhi-Bloomer dispersion equations. The two measured features were µm 2 grating arrays of 800 nm pitch. Grating arrays 1 and 2 shared the same pitch (800 nm) but varied in orientation by 90 degrees. Hence, the capability of the tool to measure grating structures with the plane of incidence perpendicular and parallel to the orientation of the grating was examined. Grating Array 1 (Horizontal) Grating Array 2 (Vertical) Pitch = 800 nm Line/Space = 1:1 Pitch = 800 nm Line/Space = 1:1 The analysis of the results produced maps of thicknesses of all layers and trench width. In addition to that, spectra of index of refraction (n) and extinction coefficient (k) in nm wavelength range were obtained for all materials in the structure. For ASI mask, close examination revealed that the optical properties of MoSi (n and k) exhibit variation across the mask. In fact, good correlation with CD-SEM for trench width was only possible to obtain when this variation was taken into account in the calculation model. The resultant uniformity maps were compared to the uniformity distributions obtained with a CD-SEM on the same samples. The comparison charts for 800 nm pitch horizontal grating arrays are presented in Figures 1 through 4. Uniformity distributions for the vertical gratings exhibit comparable similarity. A 1 to 15 nm offset between the values of line width obtained using n&k Analyzer and CD-SEM is typical for structures of various pitch and configuration. In general, some kind of offset ( bias ) is expected when two metrology techniques are compared to each other. These pitch and material dependent biases have been observed and reported in the literature. Proc. of SPIE Vol C-3

4 5. CD LINEARITY STUDY For the purpose of the linearity study, measurements were collected at 88 locations on each mask. Measurements were taken at the center and at the edge of the mask. In order to examine the capabilities of the method to measure gratings of various pitches and orientations, at each location, a set of measurements was taken on gratings with horizontal orientation and vertical orientation. For each orientation, features of 760 nm pitch and 1120 nm pitch were measured. For Pitch = 760 nm, lines with nominal width of 310, 312, 314, 316, 318, 320, 322, 324, 326, 328 and 330 nm were measured. For Pitch = 1120 nm, lines with nominal width of 390, 392, 394, 396, 398, 400, 402, 404, 406, 408 and 410 nm were measured. The following diagram illustrates the measured pitches and nominal line widths. Figures 5 through 8 show the correlation between the measurements collected using n&k Analyzer and CD-SEM. Features Measured for CD Linearity Study (Total, 88 per mask) Pitch = 760 nm Center of Edge of 310 nm 312 nm 314 nm 316 nm 318 nm 320 nm 322 nm 324 nm 326 nm 328 nm 330 nm Pitch = 1120 nm Center of Edge of 390 nm 392 nm 394 nm 396 nm 398 nm 400 nm 402 nm 404 nm 406 nm 408 nm 410 nm Proc. of SPIE Vol C-4

5 6. SUMMARY Line gratings of various pitches, line widths and orientations were measured using an n&k Analyzer a broadband reflectometer, capable of measuring TE and TM polarized reflectance and transmittance spectra between 190 and 1000 nm. The obtained spectra were analyzed using Rigorous Coupled Wave Analysis method, in conjunction with the Forouhi-Bloomer dispersion equations for n and k. Optical properties (n and k spectra from 190 to 1000 nm) of quartz, molybdenum silicide, chromium and chromium oxide, as well as thicknesses of all layers and critical dimensions of trench structures were obtained as the result of the analysis. The results were compared with the measurements taken on the same samples using conventional CD-SEM. Two comparison studies were conducted global CD uniformity and CD linearity. The CD linearity study demonstrated excellent correlation between the values of grating line width obtained using the n&k Analyzer and a CD-SEM for the grating structures of two pitches (760 nm and 1120 nm) and two orientations (perpendicular and parallel to the plane of incidence). The global CD uniformity study revealed that the n&k Analyzer can be used to produce CD uniformity maps which demonstrated excellent correlation between the results obtained using a conventional CD-SEM. The advantages of the optical method are high throughput, non-destructive nature of the measurements and capability to measure a wider variety of structures pertinent to the photomask manufacturing process. Acknowledgements We would like to thank Johnson Hung and W.C. Wang of the Taiwan Semiconductor Manufacturing Company (TSMC) for providing the samples and CD-SEM measurement results. We would also like to thank George Li of n&k Technology for supervising the measurements and analysis of the samples. Proc. of SPIE Vol C-5

6 Global CD Uniformity Measurement Results and CD-SEM Comparison n&k Analyzer ASI Mask CD (Pitch = 800 nm) CD-SEM t H b a -è - (cm) Maximum Width: nm Minimum Width: nm nm 3σ Standard Dev.: 3.5 nm Figure 1: CD uniformity measured using n&k Analyzer. Maximum Width: nm Minimum Width: nm nm 3σ Standard Dev.: 3.5 nm Figure 2: CD uniformity measured using CD-SEM. n&k Analyzer AEI Mask CD (Pitch = 800 nm) CD-SEM : rex: : : : : : : -6!"" c9.e eob.ozc SI I I I I I I I -S Maximum Width: Minimum Width: 3σ Standard Dev.: (cm) nm nm nm 3.7 nm Figure 3: CD uniformity measured using n&k Analyzer {cnl} Maximum Width: nm Minimum Width: nm nm 3σ Standard Dev.: 4.5 nm Figure 4: CD uniformity measured using CD-SEM. Proc. of SPIE Vol C-6

7 CD Linearity Measurement Results and CD-SEM Comparison AEI Mask (Pitch = 760 nm) ASI Line Width (Pitch = 760 nm, Center) ASI Line Width (Pitch = 760 nm, Edge) y = x 4.8 R 2 = y = x 4.8 R 2 = n&k Analyzer (nm) n&k Analyzer (nm) CD-SEM (nm) CD-SEM (nm) Figures 5 and 6: Correlation between measurements using CD-SEM and n&k Analyzer on ASI mask. AEI Mask (Pitch = 1120 nm) ASI Line Width (Pitch = 1120nm, Center) ASI Line Width (Pitch = 1120 nm, Edge) OCD Result (nm) y = x R 2 = OCD Result (nm) y = 0.997x R 2 = SEM Result (nm) SEM Result (nm) Figures 7 and 8: Correlation between measurements using CD-SEM and n&k Analyzer on AEI mask. Proc. of SPIE Vol C-7

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA Novel Technique for Critical Dimension Measurements of Phase-shift Mass Using Broadband Transmittance Spectra in Conjunction with RCWA Alexander Gray University of California at Davis, CA John C. Lam and

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Mask induced polarization effects at high NA

Mask induced polarization effects at high NA Mask induced polarization effects at high NA Andrew Estroff, Yongfa Fan, Anatoly Bourov, Bruce Smith Rochester Institute of Technology, Microelectronic Engineering, Rochester, NY 14623 Philippe Foubert,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

CUSTOM RETICLE SOLUTIONS

CUSTOM RETICLE SOLUTIONS CUSTOM RETICLE SOLUTIONS Special Micro Structures Pyser-SGI has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry B. Bodermann, S. Bonifer, E. Buhr, A. Diener, M. Wurm, Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

A Hybrid Analysis of Ellipsometry Data from Patterned Structures A Hybrid Analysis of Ellipsometry Data from Patterned Structures Wei Kong, Hsu-ting Huang, and Fred L. Terry, Jr. Department of Electrical Engineering and Computer Science, University of Michigan, Ann

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, WISE 2000, International Workshop on Spectroscopic Ellipsometry, 8 9 May 2000 DUV (150 350nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer, Pierre BOHER,,

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures M.Y. Chiu, C.-H. Chang, F.-Y. Chang, and Peichen Yu, Green Photonics Laboratory Department of Photonics National

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS)

A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS) A faster, more accurate way of characterizing cube beamsplitters using the Agilent Cary 7000 Universal Measurement Spectrophotometer (UMS) Application note Materials Authors Travis Burt, Chris Colley,

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JULY 2007 VOLUME 23, ISSUE 7 Novel Technique for Critical Dimension Measurements of Phase-shift

More information

Supporting information:

Supporting information: Supporting information: Wavevector-Selective Nonlinear Plasmonic Metasurfaces Kuang-Yu Yang, 1,# Ruggero Verre, 2, # Jérémy Butet, 1,#, * Chen Yan, 1 Tomasz J. Antosiewicz, 2,3 Mikael Käll, 2 and Olivier

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Broadband IR polarizing beam splitter using a subwavelength-structured one-dimensional photonic-crystal layer embedded in a high-index prism

Broadband IR polarizing beam splitter using a subwavelength-structured one-dimensional photonic-crystal layer embedded in a high-index prism University of New Orleans ScholarWorks@UNO Electrical Engineering Faculty Publications Department of Electrical Engineering 9-10-2009 Broadband IR polarizing beam splitter using a subwavelength-structured

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 551 Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Y. Y. Li, P. F. Gu, M. Y. Li,

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Demonstration of Near-Infrared Negative-Index Materials

Demonstration of Near-Infrared Negative-Index Materials Demonstration of Near-Infrared Negative-Index Materials Shuang Zhang 1, Wenjun Fan 1, N. C. Panoiu 2, K. J. Malloy 1, R. M. Osgood 2 and S. R. J. Brueck 2 1. Center for High Technology Materials and Department

More information

Film Thickness Measurement System - Principle and Applications -

Film Thickness Measurement System - Principle and Applications - Film Thickness Measurement System - Principle and Applications - 1 - CONTENTS - 1. Thin Films for Application 2. Film Thickness Measurement Requirements 3. Film Thickness Measurement Methods 4. Comparison

More information

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes Illuminated Reticle Technologies for Rifle Scopes A comparison of the diffraction grating technology with etch-and-fill Illuminated Reticle Technologies for Riflescopes A comparison of the diffraction

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Jim BOVATSEK *1, Rajesh PATEL *1 *1 Spectra-Physics, MKS Instruments, Inc., 3635 Peterson Way, Santa Clara, CA., 95054,

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Scatterometry reference standards to improve tool matching and traceability in lithographical nanomanufacturing Emil Agocs a, Bernd Bodermann a, Sven Burger b, Gaoliang Dai a, Johannes Endres a, Poul-Erik

More information

Stacked Subwavelength Gratings as Circular Polarization Filters

Stacked Subwavelength Gratings as Circular Polarization Filters Brigham Young University BYU ScholarsArchive All Faculty Publications 2001-11-01 Stacked Subwavelength Gratings as Circular Polarization Filters Gregory P. Nordin nordin@byu.edu P. C. Deguzman Follow this

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Chapter 13 An Introduction to Ultraviolet/Visible Molecular Absorption Spectrometry

Chapter 13 An Introduction to Ultraviolet/Visible Molecular Absorption Spectrometry Chapter 13 An Introduction to Ultraviolet/Visible Molecular Absorption Spectrometry 13A Measurement Of Transmittance and Absorbance Absorption measurements based upon ultraviolet and visible radiation

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Johnson, N.P. and Khokhar, A.Z. and Chong, H.M.H. and De La Rue, R.M. and McMeekin, S. (2006) Characterisation at infrared wavelengths of metamaterials formed by thin-film metallic split-ring resonator

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Anis Rahman and Aunik K. Rahman Applied Research & Photonics 470 Friendship Road, Suite 10 Harrisburg, PA 17111,

More information

Diffraction Gratings as a Chemical Sensing Platform

Diffraction Gratings as a Chemical Sensing Platform Diffraction Gratings as a Chemical Sensing Platform Gordon T. Mitchell Center for Process Analytical Chemistry Department of Chemistry University of Washington Grating Light Reflection Spectroscopy Refractive

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW#3 is assigned due Feb. 20 st Mid-term exam Feb 27, 2PM

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas Immersed diffraction gratings for the Sentinel-5 earth observation mission Ralf Kohlhaas 10-10-2017 Introduction SRON supports earth observation satellite missions with the delivery of immersed diffraction

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Optical Characterization of a Silicon Wafer Semiconductor

Optical Characterization of a Silicon Wafer Semiconductor American Journal of Engineering Research (AJER) e-issn: 2320-0847 p-issn : 2320-0936 Volume-7, Issue-9, pp-22-26 www.ajer.org Research Paper Open Access Optical Characterization of a Silicon Wafer Semiconductor

More information

Measurement of Optical Constants (n,k) using MProbe

Measurement of Optical Constants (n,k) using MProbe Thin Film Measurement solution Software, sensors, custom development and integration Measurement of Optical Constants (n,k) using MProbe Measurement of spectroscopic reflectance allows determining both

More information

Optical Characterization of CdTe Films for Solar Cell Applications

Optical Characterization of CdTe Films for Solar Cell Applications Karachi University Journal of Science, 2011, 39, 1-5 1 Optical Characterization of CdTe Films for Solar Cell Applications Saeed Salem Babkair *, Najat Mohammad Al-Twarqi and Azhar Ahmad Ansari Department

More information

Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning

Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning Zhiqiang Huang *1, *2, *3, Qun Ying Lin *3 *1, *2 and Minghui Hong *1 Department of Electrical &

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Calculating Thin Film Stack Properties

Calculating Thin Film Stack Properties Lecture 5: Thin Films Outline 1 Thin Films 2 Calculating Thin Film Stack Properties 3 Fabry-Perot Tunable Filter 4 Anti-Reflection Coatings 5 Interference Filters Christoph U. Keller, Leiden University,

More information

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion VG04-123 Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion Douglas J. Bamford, David J. Cook, and Scott J. Sharpe Physical Sciences Inc. Jeffrey Korn and Peter

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Engineered Excellence A Journal for Process and Device Engineers Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Introduction Fabrication

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Developing an Uncertainty Analysis for Optical Scatterometry

Developing an Uncertainty Analysis for Optical Scatterometry Developing an Uncertainty Analysis for Optical Scatterometry Thomas A. Germer, a Heather J. Patrick, a,b Richard M. Silver, a, and Benjamin Bunday c a National Institute of Standards and Technology, Gaithersburg,

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology ECS Transactions, 6 () 237-242 (24).49/6.237ecst The Electrochemical Society Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology Shiyuan Liu *, Xiuguo Chen, and Chuanwei Zhang State

More information

New type of haze formation on masks fabricated with Mo-Si blanks

New type of haze formation on masks fabricated with Mo-Si blanks New type of haze formation on masks fabricated with Mo-Si blanks E. Foca 1, A. Tchikoulaeva 2, B. Sass 1, C. West 3, P. Nesladek 1, R. Horn 1 Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope

Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope Journal of Physics: Conference Series PAPER OPEN ACCESS Simulations of an etched spiral axial attenuation scheme for an on-axis reflecting telescope To cite this article: Aaron Spector and Guido Mueller

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Supporting Information

Supporting Information Supporting Information A rigorous and accurate contrast spectroscopy for ultimate thickness determination of micrometre-sized graphene on gold and molecular sensing Joel M. Katzen, Matěj Velický, Yuefeng

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Photoluminescence emission through thin metal films via coupled surface plasmon polaritons

Photoluminescence emission through thin metal films via coupled surface plasmon polaritons Journal of Modern Optics Vol. 52, No. 6, 15 April 2005, 833 843 Photoluminescence emission through thin metal films via coupled surface plasmon polaritons S. WEDGE*y, S. H. GARRETTy, I. SAGEz and W. L.

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Ellipsometry Tutorial

Ellipsometry Tutorial Introduction Ellipsometry Tutorial [http://www.jawoollam.com/tutorial_1.html] This tutorial provided by the J. A. Woollam Co. is an introduction to ellipsometry for anyone interested in learning more about

More information

Electric field enhancement in metallic and multilayer dielectric gratings

Electric field enhancement in metallic and multilayer dielectric gratings Electric field enhancement in metallic and multilayer dielectric gratings B. W. Shore, M. D. Feit, M. D. Perry, R. D. Boyd, J. A. Britten, R. Chow, G. E. Loomis Lawrence Livermore National Laboratory,

More information

Gratings in Electrooptic Polymer Devices

Gratings in Electrooptic Polymer Devices Gratings in Electrooptic Polymer Devices Venkata N.P.Sivashankar 1, Edward M. McKenna 2 and Alan R.Mickelson 3 Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder,

More information