Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Size: px
Start display at page:

Download "Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry"

Transcription

1 Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin Chua, 2 William Li, 2 Aaron Chin, 3 Aditya Dayal, 3 Tom Vavul, 3 Trent Hutchinson, 1 GLOBALFOUNDRIES Singapore Pte Ltd., 60 Woodlands Industrial Park D Street 2, Singapore, KLA-Tencor Singapore, No.4 Serangoon North Avenue 5, Singapore, KLA-Tencor Corporation, One Technology Drive, Milpitas, CA 95035, USA Abstract As transistor dimensions shrinks, the requirement for wafer critical dimensions control is becoming increasingly challenging. The intra-field critical dimension uniformity (CDU) of the features on the reticle is one of the many sources of wafer CD variation. In this paper, we study how the CDU on the reticle can be obtained by using the intensity information collected during reticle inspection (icdu TM ) on the KLA-Tencor TeraScan reticle inspection tool. The collected CDU information of the reticle is then applied as an intra-field dose correction function to improve wafer intra-field CD uniformity. Using this method of extracting the reticle CDU from the intensity information allows for simple integration into a high-volume production environment and an improved capability for intra-field CDU correction without the need to expose any wafers for CD measurement nor any GDS design information. The ability to apply icdu on prototype devices on first pass run can also accelerate device development. Keywords: icdu, intra-field CDU, transistor performance, yield Introduction Intra-field wafer CD uniformity has been reported as a significant contributor to yield loss in advanced technology nodes. Hence, intra-field reticle CD uniformities are often characterized and studied so that they can be compensated for, to reduce intra-field CD variation on the wafer. The typical process involves exposing a wafer in advance and performing wafer CD SEM measurement on many locations of a similar pitch within a reticle field, to obtain the intra-field CDU information. This information is then fed back to the scanner for intra-field dose correction (see Fig. 1). The drawbacks of this method is that the wafer level CDU information obtained is a combination of reticle, process and scanner factors and the selection of the measured featured and their pitch can influence the accuracy of the results. Isolated features would be more sensitive to focus variations than dense pitches and would thus become highly influenced by the condition of the scanner at the time the data was collected. Moreover, this method is extremely time-consuming and represents an inefficient use of engineering resources, as CD SEM recipes have to be set up for hundreds of measurement locations. Metrology, Inspection, and Process Control for Microlithography XXVI, edited by Alexander Starikov, Proc. of SPIE Vol. 8324, 83242W 2012 SPIE CCC code: X/12/$18 doi: / Proc. of SPIE Vol W-1

2 Figure 1 schematic flow chart of conventional dose compensation by feeding wafer CD-SEM measurement data to DoseMapper to control the CDU of printed wafers A novel method of reticle CDU measurement, called icdu, utilizes the information collected during reticle inspection on the TeraScan or Teron inspection tool to give the full field CD uniformity information. Reflected intensity information is collected during a normal STARlight TM contamination inspection and converted into calibrated reticle CDU information (Figure 2). This calibrated reticle CDU data is subsequently used for intra-field dose correction (Figure 3). This information is collected concurrently during the reticle inspection without any throughput loss or additional engineering effort. icdu was originally designed to work on memory reticles but has been adapted at GLOBALFOUNDRIES Fab 7for use on multi-die logic reticles with repeating identical cells. Figure 2 single reticle measurement giving both defect inspection results and icdu results simultaneously without any throughput loss Proc. of SPIE Vol W-2

3 Figure 3 illustrated flow chart of how TeraScan icdu data is fed into DoseMapper for dose compensation to control the final wafer CDU Experiments 3.1 Inspection Flow The experiment flow is described in Figure 4 below. Intensity information from TeraScan is converted to CD data using current scanner dose and CD sensitivity in a similar way that SEM CD data is used to input DoseMapper directly (Figure 5). The coordinate transformation from reticle to wafer is done by using the reference points on the reticle, wafer and wafer layout. Proc. of SPIE Vol W-3

4 Fig 4 - The experimental work flow 3.2 Conversion from intensity to CD As mentioned earlier in the paper, icdu uses intensity information from the high resolution image collected by TeraScan reticle inspection tool to measure CD uniformity across the reticle. CD changes in repeating cells across the reticle result in proportional changes in the average intensity map, and these changes are detected and mapped with a high degree of precision. The relationship between normalized CD change and normalized intensity change can be expressed simply as: Δ I I = k ΔCD CD where k is a scale factor that depends upon the optical parameters of the inspection tool as well as the pattern pitch and polarity. We empirically calculate the scale factor and provide the scaled intensity data to adjust the scanner dose for CD uniformity correction. Additionally we apply a coordinate transformation and 1/4X scaling to the reticle CDU map to convert it into wafer field coordinates. Figure 5 image explains how intensity uniformity is transformed from reticle to CD data in nm, wafer dimension get shrunk 4x after rotation from reticle level Proc. of SPIE Vol W-4

5 3.3 Dose Compensation By taking the CD calibrated icdu data, DoseMapper is able to generate an intra-field dose correction recipe to compensate for intra-field CD nonuniformity (Fig. 6). Figure 6 Using CD calibrated icdu data to generate Dose compensation Map Results & Discussion To test the performance of the icdu compensation, the conventional, CD-SEM-based method of intra-field dose correction is used as the control. In both cases, multiple wafers are used to test icdu performance against the conventional method. A production reticle was inspected on TeraScan in STARlight mode. The data extracted from the inspection result using TeraScan Review server is fed to scanner through DoseMapper compensation. All other process parameters are kept constant. The CD 3 sigma (3s) is used to represent the intra-field uniformity. To calculate the improvement on intra-field uniformity of one method over another, the equation used is (3 sigma of icdu 3 sigma of conventional method)/3 sigma of conventional method. The same holds true for yield improvement: (yield of icdu yield of conventional method)/yield of conventional method. As indicated in Fig 7, 25% 3 sigma improvements is observed, which leads to a 5% yield improvement over the conventional method, which was measured by final testing on all 3 wafers (Fig. 7). Proc. of SPIE Vol W-5

6 Figure 7 Comparison of conventional DOMA and icdu DOMA on intra-field basis in terms of 3 sigma and yield To further validate icdu performance, more devices were tested in comparison against the conventional method. Overall, a significant CDU improvement was observed, ranging from 9% to 25%, with corresponding yield improvement from 0.8% to 3.5% (Fig. 8). Figure 8 CD uniformity and yield improvement with 5 devices Besides the better yield performance, the icdu method is a faster approach to compensate intra-field CD uniformity, as shown in figure 9 below. Proc. of SPIE Vol W-6

7 Figure 9 icdu feedback for DOMA is 3 times faster than conventional method Summary We have tested the icdu method of correcting for reticle CD uniformity on numerous devices and have observed improvements in both wafer level CD uniformity and product yield. The icdu methodology has been successfully implemented in a wafer fab to feed forward to scanner and improve intra-field CD uniformity for 65nm technology node multi-die logic reticles. Compared against conventional method by SEM measurement, icdu provides obvious advantages in terms of productivity as well as capability. icdu is implemented without having to expose a wafer for CD SEM measurements. This is extremely valuable in highvolume manufacturing with a large number of prototype devices. The ability to improve intra-field CDU during the first pass production run will help to accelerate device development. Acknowledgements The author would like to thank Eric Goh, Brian Tan, Jason Liu from KLA-Tencor Singapore, Ghee Soon Chong, and Gek Soon Chua, Shijie Shen, Poh Ling Lua from GLOBALFOUNDRIES Fab 7 Singapore for their contribution to this paper. Proc. of SPIE Vol W-7

8 References [1] Wylie, M, Hutchinson, T, Pan, Gang, Vavul, T, Miller, J, Dayal, A, Hess, C, Green, M, Hedges, S, Chalom, D, Rudzinski, M, Wood, C and McMurran, J, "Critical dimension uniformity using reticle inspection tool", Proc. SPIE 7488, 74881O (2009). [2] Jeewakhan, N, et al Application of DoseMapper for 65nm Gate CD Control Strategies and Results, Proc. Of SPIE vol G-11 [3] Vellanki,V, et al Reticle Inspection-based Critical Dimension Uniformity, Proc. Of SPIE Vol D-8 Proc. of SPIE Vol W-8

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node Darren Taylor Photronics, Allen TX 75013 Anthony Vacca, Larry Zurbrick KLA Tencor, 160 Rio Robles, San

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Single Pass Die to Database Tritone Reticle Inspection Capability

Single Pass Die to Database Tritone Reticle Inspection Capability Single Pass Die to Database Tritone Reticle Inspection Capability Bryan Reese, KLA-Tencor Corporation, Austin, Texas, USA Jan Heumann, AMTC, Dresden, Germany Norbert Schmidt, KLA-Tencor Corporation, Dresden,

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials JawWuk Ju a, MinGyu Kim a, JuHan Lee a, Stuart Sherwin b, George Hoo c, DongSub Choi d, Dohwa Lee d, Sanghuck Jeon d, Kangsan

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AI and ASI Phase-shift Masks Alexander Gray University of California at Davis, CA John C. Lam n&k Technology,

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

FOR SEMICONDUCTORS 2009 EDITION METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION METROLOGY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Reduction of Logic Equations using Karnaugh Maps

Reduction of Logic Equations using Karnaugh Maps Reduction of Logic Equations using Karnaugh Maps The design of the voting machine resulted in a final logic equation that was: z = (a*c) + (a*c) + (a*b) + (a*b*c) However, a simple examination of this

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Optical sensor based on hybrid LPG/FBG in D-fiber for simultaneous refractive index and temperature measurement

Optical sensor based on hybrid LPG/FBG in D-fiber for simultaneous refractive index and temperature measurement Optical sensor based on hybrid G/FBG in D-fiber for simultaneous refractive index and temperature measurement Xianfeng Chen*, Kaiming Zhou, Lin Zhang, Ian Bennion Photonics Research Group, Aston University,

More information

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

MOCVD Carrier Emissivity and Temperature Uniformity Characterization Carrier emissivity and temperature maps reveal carrier micro cracks and emissivity variation that can directly affect thin-film deposition and device performance Introduction and Motivation MOCVD wafer

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

! Fiber!Laser!Intracavity!Absorption! Spectroscopy!(FLICAS)!of!CO/CO2! mixture.!!! This experiment will expose you to tools and approaches, common in

! Fiber!Laser!Intracavity!Absorption! Spectroscopy!(FLICAS)!of!CO/CO2! mixture.!!! This experiment will expose you to tools and approaches, common in FiberLaserIntracavityAbsorption Spectroscopy(FLICAS)ofCO/CO2 mixture. This experiment will expose you to tools and approaches, common in modern laser spectroscopy. During the following weeks we will cover

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology ECS Transactions, 6 () 237-242 (24).49/6.237ecst The Electrochemical Society Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology Shiyuan Liu *, Xiuguo Chen, and Chuanwei Zhang State

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Failure Analysis Report

Failure Analysis Report Failure Analysis Report TI Information - Selective Disclosure Device Analysis Services FA QEM-CCR-1710-00243 Customer: SERIAL SYSTEM (DSTR) Assy Site: Customer Tracking ID: Fab Site: Customer Part ID:

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04 1 Table of Contents Introduction Section 1 Reticle Management System (RMS): Introduction Section 2- Global Alignment System Global Scan

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

A*STAR Seminar on LED and Solid State Lighting. Standards and Technologies. Measurements. 05 August LIU Yuanjie National Metrology Centre

A*STAR Seminar on LED and Solid State Lighting. Standards and Technologies. Measurements. 05 August LIU Yuanjie National Metrology Centre A*STAR Seminar on LED and Solid State Lighting Standards and Technologies available at NMC for LED Measurements 05 August 2011 LIU Yuanjie National Metrology Centre Outline NMC overview Basic optical quantities

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION METROLOGY TABLE OF CONTENTS Scope... 1 Infrastructure Needs... 2 Difficult Challenges... 2 Technology Requirements... 4 Measurements for

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

Effect of Incoming Quality of Sulfuric Acid on Inline Defects Effect of Incoming Quality of Sulfuric Acid on Inline Defects Dhiman Bhattacharyya*, Sushil Patil, Mark Conrad, Hayley Manning, Fauzia Khatkhatay, Alexander Mena and Norberto DeOliveira March 28, 2017

More information

Process Watch: Having Confidence in Your Confidence Level

Process Watch: Having Confidence in Your Confidence Level Process Watch: Having Confidence in Your Confidence Level By Douglas G. Sutherland and David W. Price Author s Note: The Process Watch series explores key concepts about process control defect inspection

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 17, 2017 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

High Power Laser-Sustained Plasma Light Sources for KLA-Tencor Broadband Wafer Inspection Tools

High Power Laser-Sustained Plasma Light Sources for KLA-Tencor Broadband Wafer Inspection Tools High Power Laser-Sustained Plasma Light Sources for KLA-Tencor Broadband Wafer Inspection Tools I. Bezel, M. Derstine, K. Gross, S. Lange, A. Shchemelinin, J. Szilagyi, and D. Shortt KLA-Tencor Corp. One

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 19, 2016 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION A. Gharbi, R. Tiron, M. Argoud, P. Pimenta Barros, S. Bos, G. Chamiotmaitral, I. Servin, A. Fouquet, J. Hazart (CEA-LETI) X. Chevalier, C.

More information

Interactions of 3D mask effects and NA in EUV lithography

Interactions of 3D mask effects and NA in EUV lithography Second Place, Best Paper Award Interactions of 3D mask effects and NA in EUV lithography Jens Timo Neumann* a, Paul Gräupner a, Winfried Kaiser a, Reiner Garreis a, Bernd Geh b a Carl Zeiss SMT GmbH, Rudolf-Eber-Str.

More information

Slide 1 Raymond Jin, Adcon Lab, Inc.

Slide 1 Raymond Jin, Adcon Lab, Inc. Volume Production Proven Advanced Nanometer Slurries for CMP Applications, Capable of Recycling and Extendable to Larger Si Wafer Sizes and Future IC Technology Nodes Raymond R. Jin, X. L. Song, S. M.

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

(12) United States Patent (10) Patent No.: US 6,730,444 B2. BOWes (45) Date of Patent: May 4, 2004

(12) United States Patent (10) Patent No.: US 6,730,444 B2. BOWes (45) Date of Patent: May 4, 2004 USOO673O44.4B2 (12) United States Patent (10) Patent No.: US 6,730,444 B2 BOWes (45) Date of Patent: May 4, 2004 (54) NEEDLE COMB RETICLE PATTERN FOR 6,068,954. A 5/2000 David... 430/22 CRITICAL DIMENSION

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials

Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials Prof. Eric M. Vogel, Prof. M. Shofner, Brian Beatty Materials Science & Engineering Trends in Electronics Internet of things

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Negative Tone Development: Gaining insight through physical simulation

Negative Tone Development: Gaining insight through physical simulation Negative Tone Development: Gaining insight through physical simulation Stewart A. Robertson a, Michael Reilly b, John J. Biafore a, Mark D. Smith a, and Young Bae b. a - KLA-Tencor Corp., PCI Division,

More information

DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm

DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm Ben Hunt, William Dick, Zeeshan Syedain MSP Corporation 1 AAAR 2013 3IM.4 Presentation Outline Particle Deposition

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning

Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning Phase Shift Mask Fabrication by Laser Microlens Array Lithography for Periodic Nanostructures Patterning Zhiqiang Huang *1, *2, *3, Qun Ying Lin *3 *1, *2 and Minghui Hong *1 Department of Electrical &

More information