Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Size: px
Start display at page:

Download "Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles"

Transcription

1 Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical Engineering, University of California, Berkeley b Dept of Electrical Engineering, University of California, Berkeley c Submicron Development Center, Advanced Micro Devices ABSTRACT This paper describes a novel approach to improving across-wafer CD uniformity through the litho-etch sequence. Our approach is to compensate for systematic CD perturbations by employing all available control authority though the litho-etch process sequence. In particular, we find that the most effective control input for regulating spatial variations in CD is found in the post exposure bake (PEB) process step. More precisely, we construct offset models that relate the PEB temperature profiles of multi-zone bake plates to their zone offsets using wireless, in-situ temperature sensors from OnWafer Technologies 1. A second model relating across-wafer CD to PEB bake plate zone offsets is then identified from CD data measured by CD-SEM. The CD-to-offset model and the temperature-to-offset model are used with knowledge of the resist sensitivity to determine optimal bake plate zone offsets which minimize post-etch CD variation. This is done using constrained quadratic optimization techniques. Partial experimental work and simulation results show the promise of our approach. We demonstrate through simulation that across-wafer CD variation can be significantly reduced for 15nm technology node and beyond. Keyword: Critical Dimension uniformity (CDU), across-wafer CDU, multi-zone PEB bake plate, zone offsets, process control, process modeling, nonlinear optimization. 1. INTRODUCTION As the semiconductor industry approaches the sub-.1 µm technology node, control of gate critical dimension (CD) becomes increasingly important and the constraints on across-wafer CD variation become substantially more stringent. Extensive research has been conducted on controlling wafer-averaged CD with schemes ranging from feed-forward to feed-forward/feedback closed-loop control. 2,3,4,5,6 In these papers, CD data was used to correct perturbations from litho-etch sequence in order to regulate the wafer-averaged CD to target and to minimize wafer-to-wafer and lot-to-lot CD variation. However the control of across-wafer CD variation is not explicitly treated in the existing literature. Effective control of across-wafer CD variation would result in a tighter distribution of transistor speeds. This, in turn, results in more consistent device performance and higher yield. Various sources contribute to CD variation through the lithography and etch sequence. These can be classified as in Table 1 below. Process step Tool Type of variation Coat Track across wafer (AW), wafer-to-wafer (w2w) Bake Track AW, w2w Expose Scanner AW, intra die, w2w Develop Track AW, w2w Etch Etcher AW, w2w Table. 1: Source and characteristics of several types of CD variation. * qzhang@eecs.berkeley.edu, Telephone: , Fax: , Address: 55L Cory Hall, University of California, Berkeley, CA 9472

2 From Table 1, it is apparent that the simplest approach to reduce across-wafer CD variation is to make each process step uniform. Several researchers have addressed improving single processing step uniformity. W. Ho et al proposed a cascade control structure 7 to reduce the coated resist film non-uniformity to less than 1nm by manipulating the temperature distribution of a multi-zone bake plate. A novel develop application technique of K. Sakamoto was shown to minimize the develop non-uniformity resulting in 6nm (3 sigma) within wafer CD variation 8. However, not every processing step through the litho-etch sequence offers spatial control authority. We note that in typical etch processes, spatial control is severely limited. The only potentially available control mechanism is the adjustment of backside helium pressure in dual-zone ESC cooling systems. However, such dual helium zone systems are not widely available for polysilicon etching. Moreover, backside helium pressure regulation offers very limited control authority during the etch process. Photolithography offers much more spatial control opportunities. This ranges from die-by-die exposure dose adjustment to compensation of spatial temperature profiles in the PEB step by adjusting heater zone offsets and PID settings. These two control authorities are generally easy to access. The central idea of our work is to use these control mechanisms to improve post-etch across wafer CD uniformity. In this paper, we limit our control actions to the PEB step. The exploration of the exposure settings is a subject left to be analyzed at a later time. To illustrate our approach, consider the typical process flow show in Figure 1 below. Across-wafer (AW) CD variation can be minimized if the overall AW CD variation sources can be balanced by properly tuning AW PEB temperature profiles of multi-zone bake plate. Spin/ Coat PAB Exposure PEB Develop Optimal multi-zone offsets Optimizer AW CD Metrology Fig. 1: Schematic view of lithography process control framework. The optimal heater zone offsets which correspond to the desired AW PEB temperature profile that minimizes the CD spread can be obtained through the CD offset model relating AW CD to multi-zone bake plate zone offsets. This model was constructed through a set of designed experiments. The photoresist PEB thermal sensitivity and temperature offset models relating AW PEB temperature profiles of the bake plate to its zone offsets were also extracted through parallel designed experiments. 15:15 nm DUV resist (295 Å) 2 Å SiRN Si substrate Fig. 2: 248nm process stack in experiment.

3 The designed set of experiments used a 248nm lithography process consisting of 2Å SiRN anti-reflectant coating and 295Å DUV resist on a bare silicon substrate. The lithography tool is a 248nm wavelength scanner along with a modern track featuring a multi-zone PEB bake plate module. The temperature of each zone of the multi-zone PEB bake plate is individually controlled by its zone offset. A CD-SEM was used to measure the resist CD at 45 die across each wafer. 2. CDU CONTROL METHODOLOGY: MODELING AND OPTIMIZATION 2.1 CDU Control Methodology with CD Offset Model As long as there is systematic AW CD variation due to disturbances in the litho sequence such as resist thickness signature from spin/coat and development signature from develop, it is feasible to tune AW PEB profiles of the multi-zone bake plate to compensate for these systematic perturbations to improve AW CDU. This approach relies on both the accurate measurement of the systematic across-wafer CD variation (for example by using a CD-SEM tool) as well as the effective control of the spatial distribution of PEB temperature in a multi-zone bake plate. Assuming the CD metrology tool has sufficient precision, the baseline systematic AW CD variation CD sys can be observed. CD sys is defined as: CD sys = CD baseline CD Following the estimation of the systematic CD variation, zone offsets of multi-zone bake plate could be optimized to counteract the estimated systematic AW CD variation. In this experiment, only zone offsets for the inner 7 zones corresponding to 2mm region of multi-zone bake plate are altered, leaving the outer 8 zone offsets, exposure dose, focus and all other parameters in their (nominal) baseline condition. Then the AW CDs can be expressed as: t arg et CD CD1 f CD2 f. = =.. CDn f 1 2 n ( O1, O2... O7 ) ( O, O... O ) ( O ) 1, O2... O7 (1) where O j is the offset for zone j and n is number of dies each wafer. The CD for die i, denoted CD i, is a function of the 7 zone offsets of the bake plate since each zone offset affects PEB temperature globally across the plate. This is principally due to the excellent thermal conductivity of bake plate. Generally, CDi = fi ( O1, O2... O7 ) is a nonlinear function, and the designed experiment was used to extract the nonlinear CD offset model. With the CD offset model (1) established, the CDU enhancement problem is formulated to a constrained nonlinear quadratic programming problem as follows: While 248nm lithography process was used here for convenience, we expect that this method will be most effective on 193nm lithography process, due to its increased resist sensitivity. The metrology noise level shall be much smaller than the baseline process variation (i.e., a third of the baseline process variation).

4 Minimize: CD CD T CD CD t t arg et arg et (2) Under Constraint: O L O OU (3) This defines the allowable operation region of zone offsets ( O, O O ) find the optimal zone offsets which minimize (2) A nonlinear optimizer was used to 2.2 CDU Control Methodology with PEB temperature Offset Model and resist PEB sensitivity We also used the temperature offset model and resist PEB thermal sensitivity to implement our CDU control approach. Previous research 9 shows that both the heating transient PEB temperature and the steady-state PEB temperature influence CD. The time-based PEB temperature model can be expressed as: T1 ( t) g T2 ( t) g. T ( t) = =.. T ( t) g 1 2 ( O1, O2... O7, t) ( O, O... O, t) ( O, O... O t) n n, (4) In this experiment, PEB temperature offset models at transient phase and steady-state phase were built. Assuming resist PEB thermal sensitivity is constant across the wafer, PEB temperature offset model can be transformed to the CD offset model: CD = )) ( T ( tr ) T baseline ( tr )) W tr + ( T ( st ) T baseline ( st W st S resist + CD baseline (5) Here S resist is the photoresist PEB thermal sensitivity, and W tr and W st are the weighting factors for transient PEB temperature and steady-state PEB temperature, respectively. With the transformed CD offset model (5), the CDU enhancement problem is also a constrained quadratic programming problem as in part 2.1 and has similar solution. 3. EXPERIMENTAL DESIGN The CD offset model (1) and the PEB temperature offset model (4) are the basic elements of the CDU control methodology. In order to extract the proposed nonlinear CD offset model and temperature offset model with high confidence level, a 7-factor DOE experiment involving various combinations of the 7 zone offsets was designed and carried out. To find the PEB temperature offset model relating AW PEB temperature profile to bake plate zone offsets, a wireless sensor wafer 1 capable of measuring PEB temperature trajectories (with an accuracy of.1 C, and a repeatability of.1 C) of 42 evenly distributed sites on 2mm bake plate was used to collect temperature data through the entire PEB cycle for each DOE run. Following each temperature measurement, a device wafer was patterned in parallel under the same PEB settings, using the standard lithography flow.

5 Using the CD data collected by the CD-SEM for all DOE runs, the CD offset model was extracted. The PEB temperature offset model was also used to check the validity of bake plate s CD offset model by evaluating the correlation between the PEB temperature offset model and the bake plate s CD offset model. 4. EXPERIMENTAL RESULTS AND DISCUSSION The proposed CDU control approach heavily depends on the multi-zone bake plate s capability to tune AW CD by manipulating its offsets, and this capability can be verified by the correlation between the AW CD and PEB temperature signatures. Fig. 3 and Fig. 4 show that both AW steady state PEB temperature and AW transient PEB temperature correlate strongly with the AW CD, with AW transient PEB temperature having larger temperature range. AW CD 16 AW steady state PEB temperature Fig. 3: AW CD and steady state PEB temperature signature (correlation =.82). AW CD 16 AW transient PEB temperature Fig. 4: AW CD and transient PEB temperature signature (correlation =.73). The photoresist s PEB thermal sensitivity (~-2nm/ºC) was extracted from the wafer-averaged CD and waferaveraged PEB temperature data for several DOE runs CD = *Temperature 151 CD [nm] PEB temperature [deg C] Fig. 5: PEB thermal sensitivity of 248nm resist used in this experiment.

6 The CD offset model (1) is illustrated in Fig. 6 and Fig. 7 by a snapshot of each zone offset s effect on across wafer CD for 1 unit increment of zone offset. These figures show that each zone offset can control the CD distribution on certain regions of the bake plate, indicating that the desired AW CD distribution can be approached by properly choosing the 7 zone offsets. 1.5 Fig. 6: Effect of zones 1, 2 and 3 on across wafer CD Fig. 7: Effect of zones 4, 5, 6 and 7 on across wafer CD. Similarly, the temperature offset model (4) was obtained from the temperature measurements, and each zone offset s effect on AW steady-state/transient PEB temperature for 1 unit increment of zone offset is illustrated in Figures 8, 9, 1 and Fig. 8: Effect of zones 1, 2 and 3 on AW steady-state PEB profile.

7 Fig. 9: Effect of zones 4, 5, 6 and 7 on AW steady-state PEB profile Fig. 1: Effect of zones 1, 2 and 3 on AW transient PEB profile Fig. 11: Effect of zones 4, 5, 6 and 7 on AW transient PEB profile. Comparing the above snapshots of the PEB temperature offset models to those of the CD offset model, it is clear that the coordinates of the PEB temperature offset models need to be rotated by about 9 o clockwise to match the CD offset model. This can be explained by the fact that the orientations of the sensor wafer and the device wafer on the bake plate are different, since the device wafers are aligned by the scanner but the sensor wafer is not. Further investigation found that a 9 o clockwise rotation of coordinates of the PEB temperature

8 offset model maximized its correlation with the CD offset model. Fig. 12 is the snapshot of the CD offset model, steady-state temperature offset model and transient temperature offset model of offset 4. Quantitative correlation analysis revealed that zone 4 s steady-state PEB temperature offset model correlates more strongly with its CD offset model than its transient temperature offset model does, which reinforces steady-state PEB temperature s larger effect on CD. Fig. 12: Effect of zone 4 on AW CD, AW steady-state and transient PEB profiles. Fig. 13: Effect of zone 4 on AW CD, AW steady-state and transient PEB profiles (after orientation correction). The stronger correlation between CD offset model and steady-state PEB temperature offset model indicates the steady-state PEB temperature profile s larger effect on AW CD variation, which is also reinforced by the longer temporal length of steady-state phase of PEB cycle shown in Fig PEB Cycle 12 Temperature [deg C] Transient Steady-state Time [sec] Fig. 14: Transient phase and steady-state phase in a PEB Cycle.

9 Since both steady PEB temperature profiles and transient PEB temperature profiles influence AW CD variation, with steady-state PEB profiles being the larger contributor, the AW steady-state/transient PEB temperatures can be tuned to compensate for other systematic AW CD variation sources in order to minimize AW CD variation. To achieve the best AW CD uniformity, CD t arg et was set to be an nx1 vector with 15nm as each entry, nonlinear optimization found the optimal zone offsets which minimized CD variation. Fig. 15 shows the baseline AW CD variation and simulated optimized AW CD variation after proper zone offsets correction. baseline Baseline CDU CDU 154 optimized Optimized CDU CDU Fig. 15: Baseline CD variation and optimized CD variation. The baseline across-wafer 1-sigma CD variation was 2.1nm. Using our CDU enhancement approach AW 1- sigma CD variation was narrowed down to 1.1nm in simulation, which verified the efficacy of the proposed approach. In addition to improving the post-develop resist CD uniformity, the proposed approach could also be used to improve post-etch poly/metal CD uniformity by tuning the AW post-develop CD profile to compensate for plasma etching induced systematic CD signature. In order to verify the capability of this type of compensation, the 7 zone offsets were optimized to push the AW post-develop CD distribution to follow a bowl shape and a tilted shape respectively. The simulation results are shown in Fig Fig. 16: Bowl shape and tilted shape across wafer post-develop CD.

10 The experiment and simulation results confirmed the validity of our approach to improve AW CDU. We used the CD-SEM (which has higher metrology noise than some scatterometry tools) for all our CD measurements. The optimal zone offsets of the bake plate were computed offline. The relatively high metrology noise of CD- SEM and the delay between metrology, optimization and application of the PEB recipe correction could deteriorate the performance of proposed CDU enhancement approach. Using scatterometry as an inline/integrated metrology tool, a real time feedback control framework based on control framework in Fig.1 could be easily implemented. We expect that this would offer significantly better performance. Due to the higher PEB thermal sensitivity (~-8nm/ºC) of 193nm resist, the proposed CDU control approach would also be more efficient in 193nm processing than current 248nm processing, and could potentially offer critical aid in the full transition of the 193nm process to production. 5. CONCLUSION An AW CDU enhancement approach was investigated through designed experiments using a 248nm lithography process and simulation. Temperature offset and CD offset models of multi-zone bake plate were extracted from experimental data, and zone offsets of multi-zone bake plate were then optimized to minimize AW CD variation. Experiment and simulation results verified that multi-zone bake plate can be tuned to compensate for other AW CD variation through the litho sequence to reduce post-develop CD variation. Using analogous techniques, PEB regulation makes it possible to engineer target AW post-develop CD maps to compensate for various etching induced systematic CD variations. ACKNOWLEDGEMENTS The authors would like to thank OnWafer Technologies for providing BakeTemp sensor wafers to support this project. This work was funded by Advanced Micro Devices, Applied Materials, Atmel, Cadence, Canon, Cymer, DuPont, Ebara, Intel, KLA-TENCOR, Mentor Graphics, Nikon Research, Novellus Systems, Panoramic Technologies, Synopsis, Tokyo Electron, and the UC Discovery Grant. REFERENCES 1. OnWafer Technologies: 2. S.W. Butler and J.A. Stefani, IEEE Trans. Semicond. Manuf. 7, 193, C. El-Chemali and J.S. Freudenberg, SEMATCH AEC/APC XIII Proceedings, Banff, Alberta, Canada, Oct D. S.L. Mui, H.Sasano, W.Liu, J. Kretz and J. Yamartina, Semiconductor International, June 22, P G.P.Kota, J.Luque, V.Vahedi, A. Khathuria, T. Dziura and A. Levy, Advanced process control for polysilicon gate etching using integrated CD metrology, SPIE microlithography, Santa Clara, CA, 23, S.Ruegsegger, A. Wagner, J.S. Freudenberg and D.S. Grimard, IEEE Trans. Semicond. Manuf. 12, 493, W. Ho, L. Lee, A. Tay and C. Schaper Resist film uniformity in the microlithography process, IEEE Trans. Semicond. Manufact., vol. 15, no. 3, Aug K. Sakamoto, Novel Develop Application Method to Improve Critical Dimension Control, Advances in Resist Technology and Processing XVIII, Proceedings of SPIE, vol. 4345, M. D. Smith, C. A. Mack, J. S. Petersen, Modeling the impact of thermal history during post exposure bake on the lithographic performance of chemically amplified resists, in Advances in Resist Technology and Processing XVIII, F. Houlihan, ed., Proc. SPIE 4345, pp , 21.

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Critical Dimension Control and its Implications in IC Performance

Critical Dimension Control and its Implications in IC Performance 1 Critical Dimension Control and its Implications in IC Performance Costas J. Spanos FLCC, 10/23/06 2 Critical Dimension in Perspective (Leff in particular) Controls both leakage and saturation current

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Mircea Dusa a, Richard Moerman b, Bhanwar Singh c, Paul Friedberg c, Ray Hoobler d, Terrence

More information

Predictive Ratio Control of Multizone Thermal Processing System in Lithography

Predictive Ratio Control of Multizone Thermal Processing System in Lithography Proceedings of the 7th World Congress The International Federation of Automatic Control Seoul, Korea, July 6-, 28 Predictive Ratio Control of Multizone Thermal Processing System in Lithography A Tay, KK

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

In-Situ Measurement of the Relative Thermal Contributions of Chemical Reactions and Ions During Plasma Etching. M.R. Tesauro a, and G.

In-Situ Measurement of the Relative Thermal Contributions of Chemical Reactions and Ions During Plasma Etching. M.R. Tesauro a, and G. 10.1149/1.3035362 The Electrochemical Society In-Situ Measurement of the Relative Thermal Contributions of Chemical Reactions and Ions During Plasma Etching M.R. Tesauro a, and G. Roche b a Qimonda Dresden

More information

Accurate and Reliable Optical CD of MuGFET down to 10nm

Accurate and Reliable Optical CD of MuGFET down to 10nm Accurate and Reliable Optical D of MuGFET down to 1nm P Leray 1, G F Lorusso 1, heng 1, N ollaert 1, M Jurczak 1, hirke 2 1 IME, Kapeldreef 75, B31, Leuven, Belgium 2 VLI tandard, 387 N 1st treet an Jose

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Temperature Cycling for Photoresist Processing

Temperature Cycling for Photoresist Processing Proceedings of the 17th World Congress The International Federation of Automatic Control Seoul, Korea, July 6-11, 28 Temperature Cycling for Photoresist Processing Yuheng Wang HuiTong Chua Arthur Tay ZhongPing

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

In-situ Measurement and Control of Photoresist Processing in Lithography

In-situ Measurement and Control of Photoresist Processing in Lithography In-situ Measurement and Control of Photoresist Processing in Lithography HU NI (B.Eng.,HUST) A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XI, SPIE Vol. 2195, pp. 584-595. It

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

A Class of Impedance Tomography based Sensors for Semiconductor Manufacturing

A Class of Impedance Tomography based Sensors for Semiconductor Manufacturing A Class of Impedance Tomography based Sensors for Semiconductor Manufacturing Michiel V.P. Krüger, Kameshwar Poolla, and Costas J. Spanos Department of Mechanical Engineering, Department of Electrical

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Sensors and Control. Final SFR Workshop & Review April 17, 2003

Sensors and Control. Final SFR Workshop & Review April 17, 2003 1 Sensors and Control Final SFR Workshop & Review April 17, 2003 Outline Battery development (Dunn) Encapsulation (Cheung) Plasma sensors (Aydil) Sensors and Control (Poolla) 2 in situ Sensing Need wafer-state

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Supporting Information for: Electrical probing and tuning of molecular. physisorption on graphene

Supporting Information for: Electrical probing and tuning of molecular. physisorption on graphene Supporting Information for: Electrical probing and tuning of molecular physisorption on graphene Girish S. Kulkarni, Karthik Reddy #, Wenzhe Zang, Kyunghoon Lee, Xudong Fan *, and Zhaohui Zhong * Department

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology ECS Transactions, 6 () 237-242 (24).49/6.237ecst The Electrochemical Society Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology Shiyuan Liu *, Xiuguo Chen, and Chuanwei Zhang State

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES D. Celo, R. Joshi 1, and T. Smy Dept. of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6, ph: 613-520-3967, fax: 613-520-5708:

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

inputs. The velocity form is used in the digital implementation to avoid wind-up [7]. The unified LQR scheme has been developed due to several reasons

inputs. The velocity form is used in the digital implementation to avoid wind-up [7]. The unified LQR scheme has been developed due to several reasons A LQR Scheme for SCR Process in Combined-Cycle Thermal Power Plants Santo Wijaya 1 Keiko Shimizu 1 and Masashi Nakamoto 2 Abstract The paper presents a feedback control of Linear Quadratic Regulator (LQR)

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Wafer warpage detection during bake process in. photolithography

Wafer warpage detection during bake process in. photolithography Wafer warpage detection during bake process in photolithography Yang Kai (B.Eng) A THESIS SUBMITTED FOR THE DEGREE OF MASTER OF ENGINEERING DEPARTMENT OF ELECTRICAL & COMPUTER ENGINEERING NATIONAL UNIVERSITY

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

Systems Approaches to Estimation Problems in Thin Film Processing

Systems Approaches to Estimation Problems in Thin Film Processing Systems Approaches to Estimation Problems in Thin Film Processing Tyrone Vincent tvincent@mines.edu October 20, 2008 T. Vincent (IMPACT) Systems Approaches to Estimation October 20, 2008 1 / 45 Acknowledgements

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Dynamics of Macropore Growth in n-type Silicon Investigated by FFT In-Situ Analysis. J. Carstensen, A. Cojocaru, M. Leisner, and H.

Dynamics of Macropore Growth in n-type Silicon Investigated by FFT In-Situ Analysis. J. Carstensen, A. Cojocaru, M. Leisner, and H. 1.1149/1.31715 The Electrochemical Society Dynamics of Macropore Growth in n-type Silicon Investigated by FFT In-Situ Analysis J. Carstensen, A. Cojocaru, M. Leisner, and H. Föll Institute for Materials

More information

Plasma Etch Tool Gap Distance DOE Final Report

Plasma Etch Tool Gap Distance DOE Final Report Plasma Etch Tool Gap Distance DOE Final Report IEE 572 Doug Purvis Mei Lee Gallagher 12/4/00 Page 1 of 10 Protocol Purpose: To establish new Power, Pressure, and Gas Ratio setpoints that are acceptable

More information

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials JawWuk Ju a, MinGyu Kim a, JuHan Lee a, Stuart Sherwin b, George Hoo c, DongSub Choi d, Dohwa Lee d, Sanghuck Jeon d, Kangsan

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/

More information

Linear State Feedback Controller Design

Linear State Feedback Controller Design Assignment For EE5101 - Linear Systems Sem I AY2010/2011 Linear State Feedback Controller Design Phang Swee King A0033585A Email: king@nus.edu.sg NGS/ECE Dept. Faculty of Engineering National University

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA.

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Abstract: By electrically segmenting, and series-connecting

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Neutron Reflectometry of Ferromagnetic Arrays

Neutron Reflectometry of Ferromagnetic Arrays Neutron Reflectometry of Ferromagnetic Arrays Z.Y. Zhao a, P. Mani a, V.V.Krishnamurthy a, W.-T. Lee b, F. Klose b, and G.J. Mankey a a Center for Materials for Information Technology and Department of

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Author Pan, Yue, M. Collins, Aaron, Algahtani, Fahid, W. Leech, Patrick, K. Reeves, Geoffrey, Tanner,

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

CDS 101/110a: Lecture 8-1 Frequency Domain Design

CDS 101/110a: Lecture 8-1 Frequency Domain Design CDS 11/11a: Lecture 8-1 Frequency Domain Design Richard M. Murray 17 November 28 Goals: Describe canonical control design problem and standard performance measures Show how to use loop shaping to achieve

More information

ADVANCED process control (APC) has been recognized

ADVANCED process control (APC) has been recognized 276 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 2, MAY 1998 Run by Run Advanced Process Control of Metal Sputter Deposition Taber H. Smith, Duane S. Boning, Member, IEEE, Jerry Stefani,

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor JFETs AND MESFETs Introduction Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor Why would an FET made of a planar capacitor with two metal plates, as

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 7 Interconnections 1: wire resistance, capacitance,

More information

Analysis of MOS Cross-Coupled LC-Tank Oscillators using Short-Channel Device Equations

Analysis of MOS Cross-Coupled LC-Tank Oscillators using Short-Channel Device Equations Analysis of MOS Cross-Coupled C-Tank Oscillators using Short-Channel Device Equations Makram M. Mansour Mohammad M. Mansour Amit Mehrotra Berkeley Design Automation American University of Beirut University

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS Christian L. Petersen, Rong Lin, Dirch H. Petersen, Peter F. Nielsen CAPRES A/S, Burnaby, BC, Canada CAPRES A/S, Lyngby, Denmark We

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

DESIGN OF AN ON-LINE TITRATOR FOR NONLINEAR ph CONTROL

DESIGN OF AN ON-LINE TITRATOR FOR NONLINEAR ph CONTROL DESIGN OF AN ON-LINE TITRATOR FOR NONLINEAR CONTROL Alex D. Kalafatis Liuping Wang William R. Cluett AspenTech, Toronto, Canada School of Electrical & Computer Engineering, RMIT University, Melbourne,

More information

388 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011

388 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011 388 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011 Physically Justifiable Die-Level Modeling of Spatial Variation in View of Systematic Across

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Multipredictive Adaptive Control of Arc Welding Trailing Centerline Temperature

Multipredictive Adaptive Control of Arc Welding Trailing Centerline Temperature IEEE TRANSACTIONS ON CONTROL SYSTEMS TECHNOLOGY, VOL. 8, NO. 1, JANUARY 2000 159 Multipredictive Adaptive Control of Arc Welding Trailing Centerline Temperature T. O. Santos, R. B. Caetano, J. M. Lemos,

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Takao Someya 1, Hiroshi Kawaguchi 2, Takayasu Sakurai 3 1 School of Engineering, University of Tokyo, Tokyo, JAPAN 2 Institute

More information