Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Size: px
Start display at page:

Download "Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool"

Transcription

1 Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian Holfeld, 4 Jan-Hendrik Peters, 4 Bruno La Fontaine, 5 Eric Gullikson. 6 1 GlobalFoundries, 257 Fuller Road, Albany, NY 12203, USA 2 ASML, 25 Corporate Circle, Albany, NY 12203, USA 3 GlobalFoundries, Wilschdorfer Landstrasse 101, D Dresden, Germany 4 AMTC, Rahnitzer Allee 9, D Dresden, Germany 5 GlobalFoundries, 1050 E. Arques Avenue, Sunnyvale, CA 94085, USA 6 LBNL, 1 Cyclotron Road, Berkeley, CA 94720, USA

2 Outline Introduction Experimental techniques & results Auger electron spectroscopic analysis - AES surface analysis results - AES depth profiling results Grazing incidence reflection (GIR) FTIR spectroscopic analysis Scanning electron microscopic analysis - Image quality -CD uniformity At wavelength reflectivity analysis Summary 2

3 Introduction The main aims of the experiments were to assess the molecular contamination risk to the use and lifetime of a given EUV reticle under typical use condition. The reticle was exposed to >1600 J/cm 2 over a period of one year. The reticle comprises areas with gratings and contamination monitoring (CM) open fields. The identity and thickness of the contaminants were determined with Auger electron spectroscopy AES, and verified with Fourier Transform Infrared spectroscopy. The impact of contamination on the quality of image printing and on dose was determined with Scanning electron microscopy of grating features printed on wafer. The impact of contamination on reticle reflectivity was determined with Actinic Inspection Tool at Lawrence Berkeley National Laboratories The results obtained on the exposed gratings, open fields and absorber areas of the reticle are compared to those from the unexposed areas of the same reticle.

4 Reticle architecture Reticle is made of 40 Mo/Si bilayers Silicon capping layer TaN absorber layer TaN Absorber layer SiO 2 buffer layer Si capping layer SiO 2 Buffer layer 40 bilayers of Mo/Si 4

5 Reticle layout Exposed gratings Exposed CM open fields and absorber areas 45-nm grating H-V 4 mm x4 mm openarea arrays Unexposed gratings Unexposed CM open fields & absorber areas used as reference Origin (0,0) MLM reticle substrate with Si cap layer

6 AES spectra obtained on the absorber x Ta, O, C detected, but no Si or N Exposed absorber Ta O Ta Ta c/s Ta C Unexposed absorber Oxidation states/atomic concentration Absorber area of detected elements (%) C(1) N(1) O(1) Ta(2) Exposed absorber 34.4 ± 3 <1.6 ± ± ± 3 Unexposed absorber 47.8 ± 3 <1.8 ± ± ± Kinetic Energy (ev) More C on unexposed absorber 6

7 AES survey spectra of the CM open fields x Si, SiO x, C are detected Exposed open fields Si 12 O c/s Si C Unexposed open field Oxidation states/atomic concentration Open field type of detected elements (%) C(1) O(1) Si(2) Exposed open field ± ± ± 3 Exposed open field ± ± ± 3 Unexposed open field 51.6 ± ± ± Kinetic Energy (ev) More C on unexposed CM open field

8 Overview AES depth profile of an entire exposed CM open field Mo/Si stack Intensity x Si cap layer C1 O1 Si1 Mo1 Carbon & surface oxide contaminants on Si cap detected 4 2 Multilayer structure visible Sputter Depth (nm) Note: The depth scale conversion is based on SiO 2 etch rate

9 AES depth profiles through CM open field areas Surfaces are oxidized and covered with carbon contamination. SiO 2 thickness ~ 1nm thick on top of both exposed & unexposed areas Carbon contamination on top of the exposed & unexposed areas is completely removed after short sputtering, indicating a thickness < 0.5 nm. 10 x x 10 4 Si1 Si1 Intensity O1 C1 Exposed Mo1 Intensity O1 C1 Unexposed Mo Sputter Depth (nm) Sputter Depth (nm) All profiles were scaled to the same depth, based on the SiO 2 etch rate

10 AES depth profiles through absorber areas Ta x O y is observed on the TaN absorber layer Carbon contamination is observed on both exposed & unexposed areas Carbon contamination is completely removed after short sputtering, indicating a thickness < 0.5 nm x x 105 Exposed Unexposed 1.5 O1 1.5 O1 Intensity 1 Intensity C1 Ta1 0.5 C1 Ta Sputter Depth (nm) Sputter Depth (nm) All profiles were scaled to the same depth, based on the SiO 2 etch rate

11 GIR FTIR spectra of CM open fields of reticle Transmittance [%] Exposed Mo-O Si-O-Mo Si-O Atmospheric moisture Transmittance [%] Unexposed Mo-O Si-O-Mo Si-O Atmospheric moisture Wavenumber cm -1 Wavenumber cm-1 Si-O from oxidized Si capping layer Si-O-Mo and Mo-O from O enrichment in Mo sub layers of Mo/Si stack

12 GIR FTIR spectra of absorber areas of reticle Transmittance [%] Exposed Si-O Atmospheric moisture Transmittance [%] Unexposed Si-O Atmospheric moisture Wavenumber cm Wavenumber cm-1 Si-O observed on the Si-capping layer in both exposed & unexposed areas of the absorber

13 SEM images of gratings in the exposed & unexposed regions of the reticle Exposed Unexposed No discernible difference between gratings in the exposed & unexposed regions of the reticle

14 CD & CD uniformity of patterned gratings in the exposed & unexposed regions of the reticle Wafer layout No discernible CD difference between gratings in the exposed and unexposed regions of the reticle 54 Exposed 52 CD (nm) Unexposed Unexposed die(-2, -1) die(2, -1) die(-2, 1) die(2, 1) Y (mm) 4 fields measured

15 EUV reflectivity of exposed & unexposed CM open fields of reticle Comparable reflectivity between exposed and unexposed areas ~3.4 % reflectivity loss from pristine values relative to values at the end of the experiment could be related to storage effects, mask processing, handling & transportation Location on reticle measured Pristine, before the experiment Mo/Si ML Reflectivity Maximum 64 ± 1%* After 1600 J/cm 2 exposure of CM1 area After 1600 J/cm 2 exposure of CM2 area Unexposed CM6 area Unexposed CM7 area 60.7 ± 0.1%** ± 0.1%** ± 0.1%** ± 0.1%** * Measured by Schott Lithotec at PTB Bessy on 10 August 2006 ** Measured at ALS at LBNL on 9 October 2009

16 Summary Contamination risk to EUV reticle of up to 1600J/cm 2 of exposure dose in ASML s ADT is negligible. Carbon contaminants & oxides are observed on exposed & unexposed Si surfaces of CM open fields and Ta surfaces of absorbers. - Carbon thickness is < 0.5 nm on exposed & unexposed Si surfaces of CM open fields and Ta surfaces of absorbers. - The Si capping layer in the CM open fields is oxidized to a thickness of around ~ 1 nm in both exposed and unexposed areas.

17 Summary, cont d. The slightly lower amount of carbon contaminant in the exposed areas relative to the unexposed areas may be indicative of in-situ cleaning mediated by the interaction of EUV photons & residual oxygen in the reticle space. There is comparable level of reflectivity between exposed & unexposed CM open fields at the end of experiment, corresponding to a reflectivity loss of ~3% relative to pristine values. No discernible CD difference between gratings in the exposed & unexposed regions of the reticle is observed J/cm 2 of EUV exposure dose can support ~620 printed wafers (with 72 exposure a resist sensitivity of 15mJ/cm 2

18 Acknowledgments Sang-In Han & Robert Routh of ASML Part of this work was performed by the Research Alliance Teams at IBM Research and Development Facilities in Albany, NY

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet Heidi Dumais Department of Physics and Astronomy, Brigham Young University Abstract - The reflectance and transmittance

More information

EUVL Optics lifetime and contamination. European Update

EUVL Optics lifetime and contamination. European Update EUVL Optics life and contamination European Update EUVL Symposium 27 TWG Optics Contamination and Life Sapporo Bas Wolschrijn, TNO 1/12 -.5-1 -2-1% productivity 2 4 8 1. EUV intensity [au].5. -.5-1. -2..

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

EUV-collector mirrors for high-power LPP sources

EUV-collector mirrors for high-power LPP sources EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,

More information

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Fig 1: Auger Electron Generation (a) Step 1 and (b) Step 2

Fig 1: Auger Electron Generation (a) Step 1 and (b) Step 2 Auger Electron Spectroscopy (AES) Physics of AES: Auger Electrons were discovered in 1925 but were used in surface analysis technique in 1968. Auger Electron Spectroscopy (AES) is a very effective method

More information

EE 434 Lecture 7. Process Technology

EE 434 Lecture 7. Process Technology EE 434 Lecture 7 Process Technology Quiz 4 How many wafers can be obtained from a 2m pull? Neglect the material wasted in the kerf used to separate the wafers. 2m And the number is. 1 8 3 5 6 4 9 7 2 1

More information

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION Journal of Surface Analysis,Vol.12 No.2 (2005); S.Ichikawa, et al., Coverage Estimation of Silane. Coverage Estimation of Silane Functionalized Perfluoropolyether Layer by using Time of Flight Secondary

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment vyuji Kataoka vmayumi Shigeno vyoko Tada vkazutoshi Yamazaki vmasataka

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

XPS & Scanning Auger Principles & Examples

XPS & Scanning Auger Principles & Examples XPS & Scanning Auger Principles & Examples Shared Research Facilities Lunch Talk Contact info: dhu Pujari & Han Zuilhof Lab of rganic Chemistry Wageningen University E-mail: dharam.pujari@wur.nl Han.Zuilhof@wur.nl

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF Solutions with light meet challenges and offer opportunities Fraunhofer IOF Multilayer coating for EUV collector mirrors 2011 International Workshop on EUV and Soft X- Ray Sources Hagen Pauer, Marco Perske,

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Supporting Information

Supporting Information Supporting Information Structure of the Clean and Oxygen-covered Cu(100) Surface at Room Temperature in the Presence of Methanol Vapor in the 10 to 200 mtorr Pressure Range Baran Eren,, Heath Kersell,,

More information

Opportunities for Advanced Plasma and Materials Research in National Security

Opportunities for Advanced Plasma and Materials Research in National Security Opportunities for Advanced Plasma and Materials Research in National Security Prof. J.P. Allain allain@purdue.edu School of Nuclear Engineering Purdue University Outline: Plasma and Materials Research

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy Introduction Principles Instrumentation Qualitative analysis Quantitative analysis Depth profiling Mapping Examples The Auger

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Surface Analysis. Dr. Lynn Fuller Dr. Fuller s Webpage:

Surface Analysis. Dr. Lynn Fuller Dr. Fuller s Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Surface Analysis Dr. Lynn Fuller Dr. Fuller s Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Towards wafer-size graphene layers by atmospheric pressure graphitization of silicon carbide Supporting online material Konstantin V. Emtsev 1, Aaron Bostwick 2, Karsten Horn

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

New type of haze formation on masks fabricated with Mo-Si blanks

New type of haze formation on masks fabricated with Mo-Si blanks New type of haze formation on masks fabricated with Mo-Si blanks E. Foca 1, A. Tchikoulaeva 2, B. Sass 1, C. West 3, P. Nesladek 1, R. Horn 1 Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Formation of N-doped Graphene Nanoribbons via Chemical Unzipping

Formation of N-doped Graphene Nanoribbons via Chemical Unzipping SUPPORTING INFORMATION FILE FOR: Formation of N-doped Graphene Nanoribbons via Chemical Unzipping Rodolfo Cruz-Silva 1, Aaron Morelos-Gómez 3, Sofia Vega-Díaz 1, Ferdinando Tristán- López 1, Ana L. Elias

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

Carbon Quantum Dots/NiFe Layered Double Hydroxide. Composite as High Efficient Electrocatalyst for Water

Carbon Quantum Dots/NiFe Layered Double Hydroxide. Composite as High Efficient Electrocatalyst for Water Supplementary Information Carbon Quantum Dots/NiFe Layered Double Hydroxide Composite as High Efficient Electrocatalyst for Water Oxidation Di Tang, Juan Liu, Xuanyu Wu, Ruihua Liu, Xiao Han, Yuzhi Han,

More information

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Jining Xie Agilent Technologies May 23 rd, 2012 www.agilent.com/find/nano Outline 1. Introduction 2. Agilent

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures

Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures Supporting Information Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures Xiaobin Xu, 1,2 Qing Yang, 1,2 Natcha Wattanatorn, 1,2 Chuanzhen

More information

School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon , Korea.

School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon , Korea. Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary information (ESI) Highly Efficient and Bending Durable

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005 Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates Karan Sukhija Co-op Term # 1 April 28 th, 2005 Future Suggested Experiments Acknowledgments Presentation Outline Background

More information