Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Size: px
Start display at page:

Download "Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research"

Transcription

1 Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1

2 The main things you need to know EUV reticle Samsung

3 1999 Motorola Full field patterned EUVL mask Two Motorola microprocessor die with 130 nm ground rules 80 mm 160 mm 200 mm Absorber patterned on Mo/Si multilayers on a Si substrate From: Scott Hector, Freescale 3

4 2000 Intel (Yan, Zhang, et al.) From: Chris Walton, LLNL 4

5 Defects: Can we find them all? 488 nm 266 nm 13.4 nm EUV light penetrates deeply into the resonant ML structure. 488-nm and 266-nm light barely reaches below the surface. I(z) Field intensity vs. depth Absorber pattern and defects also have different, λ-specific optical properties 8 bi-layers 3 bi-layers depth [nm] 5

6 Different types of defects pose a challenge Non-Actinic Inspection Surface Particles Phase Defects less difficult Pattern Defects more difficult Organic Contamination Incomplete Repairs Actinic Inspection Surface Particles Phase Defects Pattern Defects Organic Contamination Incomplete Repairs direct image measurement means uniform difficulty 6

7 The main things you need to know Visible-light inspection 7

8 14+ years of EUV-wavelength ( actinic ) mask inspection EUV LLC/LBNL Lucent NTT MIRAI SEMATECH/LBNL U. Hyogo Exitech U. Bielefeld U. Hyogo Future... Bridge Tool INVENT/CNSE SEMATECH/LBNL MIRAI/Selete Hanyang U. / Pohang SEMATECH / LBNL 8

9 Disclaimer Dates are approximate. My sincere apologies to any actinic mask inspection / imaging project, or researchers, that I missed. 9

10 2000 EUV LLC / LBNL (Bokor group) BF DF From: Jeff Bokor, UC Berkeley / LBNL 10

11 2001 EUV LLC / VNL / LBNL (Bokor group) more DF less DF First group to... Perform actinic inspection with sensitivity to sub-100-nm defects. Describe differences between BF and DF: investigate using multiple means. Find actinic-only defects. From: Jeff Bokor, UC Berkeley / LBNL 11

12 2001 EUV LLC / VNL / LBNL (Bokor group) From: Jeff Bokor, UC Berkeley / LBNL 12

13 2001 EUV LLC / VNL / LBNL (Bokor group) Proposed high-speed tool From: Jeff Bokor, UC Berkeley / LBNL 13

14 1999 Bell Labs / Lucent (Spector, Wood, et al.) EIPBN 1999; Spector, JVST B 17(6), From: Steven Spector, Lincoln Laboratories 14

15 1999 Bell Labs / Lucent (Spector, Wood, et al.) EIPBN 1999; Spector, JVST B 17(6), From: Steven Spector, Lincoln Laboratories 15

16 1999 Bell Labs / Lucent (Spector, Wood, et al.) Spector, JVST B 17(6), From: Steven Spector, Lincoln Laboratories 16

17 1999 Bell Labs / Lucent (Spector, Wood, et al.) Q: First group to propose a uniformity scanner for EUV?? 1.5-inch square area undulator beam EIPBN 1999; Spector, JVST B 17(6), From: Steven Spector, Lincoln Laboratories 17

18 (1995) 2000 NTT Mirau Inspection Microscope (Haga, et al.) EUV MIM x EIPBN 2000; Haga, JVST B 18(6),

19 (1995) 2000 NTT Mirau Inspection Microscope (Haga, et al.) EIPBN 2000; Haga, JVST B 18(6),

20 (1995) 2000 NTT Mirau Inspection Microscope (Haga, et al.) absorber mask phase-shift mask 0.8 µm lines 0.8 µm lines 1.0 µm lines EIPBN 2000; Haga, JVST B 18(6),

21 2004 Patent Underwood, Perrera, Naulleau Zoneplate microscope for masks 21

22 2005 Patent Goldberg US 6,963,395 CCD spatially filtered EUV source Mask a cheap, low-resolution, point-projection microscope for mask-blank inspection very high efficiency finds ML-coating errors, and large particles sees diffraction/shadow from any large-scale defect 22

23 2006 MIRAI Tool Tezuka, JJAP 45 (6B) 2006 a great paper! 23

24 2006 MIRAI Tool Tezuka, JJAP 45 (6B)

25 2006 MIRAI Tool 150 µm Hoya mask with bump-type buried phase-defects 25

26 2006 SEMATECH / LBNL Defect-Inspection Tool Goldberg, JVSTB 24 (6)

27 2006 SEMATECH / LBNL Defect-Inspection Tool Goldberg, JVSTB 24 (6)

28 2006 SEMATECH Berkeley Mask Inspection Tool BF DF 150 µm Goldberg, JVSTB 24 (6)

29 2004 EUVM (Kinoshita, et al.) From: T. Terasawa, Selete From: T. Terasawa, Selete 29

30 2006 EUVM (Kinoshita, et al.) Goldberg, SPIE 6921,

31 2006 EUVM (Kinoshita, et al.) Goldberg, SPIE 6921,

32 2005 Exitech RIM-13, Reticle Imaging actinic Microscope Booth, SPIE 5751,

33 2005 Exitech RIM-13, Reticle Imaging actinic Microscope 10x Booth, SPIE 5751,

34 2005 Exitech RIM-13, Reticle Imaging actinic Microscope x 10x Booth, SPIE 5751,

35 2005 Exitech RIM-13, Reticle Imaging actinic Microscope x Source: AIXUV (xenon gas discharge pinched plasma lamp) Illumination: Köhler σ = selectable 10x Booth, SPIE 5751,

36 2005 Exitech RIM-13, Reticle Imaging actinic Microscope x R. R. I. I. P. P Source: AIXUV (xenon gas discharge pinched plasma lamp) Illumination: Köhler σ = selectable 10x 36

37 2007 Coherent Scattering Microscope (Kinoshita et al.) (almost) no optics can reach high NA reconstruct entire through-foc. series mathematically Kishimoto, JVSTB 27 (6)

38 2007 Coherent Scattering Microscope (Kinoshita et al.) Harada, EIPBN Kishimoto, JVSTB 27 (6)

39 2007 Coherent Scattering Microscope (Kinoshita et al.) Harada, EIPBN Kishimoto, JVSTB 27 (6)

40 2006 At-wavelength PEEM Microscope (Kleineberg, et al.) EUV illumination PEEM e optics for imaging Neuhäusler, Appl. Phys. Lett. 88 (2006) Kleineberg, SPIE 6151 (2006) 40

41 2006 At-wavelength PEEM (Kleineberg, et al.) Kleineberg, SPIE 6151 (2006) 41

42 2007 At-wavelength PEEM (Kleineberg, et al.) Lin, Optics Letters 32 (13) (2007) 42

43 2007 EUV MiMICS (Denbeaux et al.) Denbeaux, EUVL Symposium 2007 Fan, SPIE 7271,

44 2007 EUV MiMICS (Denbeaux et al.) Denbeaux, EUVL Symposium

45 2007 EUV MiMICS (Denbeaux et al.) Denbeaux, EUVL Symposium

46 2008 SEMATECH Berkeley Actinic Inspection Tool (AIT) Goldberg, SPIE 7122,

47 2008 SEMATECH Berkeley Actinic Inspection Tool (AIT) hp: 100 nm (25 4x) hp: 125 nm (31 4x) AIT (EUV) images 500 nm 500 nm Goldberg, EIPBN 2009; JVSTB 27 (6)

48 2008 SEMATECH Berkeley Actinic Inspection Tool (AIT) SEM native defects found on a full-field EUV mask EUV SEM images AIT (EUV) images LaFontaine, Global Foundries 1 µm 48

49 2008 SEMATECH Berkeley Actinic Inspection Tool (AIT) SEM native defects found on a full-field EUV mask EUV SEM images AIT (EUV) images LaFontaine, Global Foundries 1 µm 49

50 2008 SEMATECH Berkeley Actinic Inspection Tool (AIT) SEM native defects found on a full-field EUV mask EUV SEM images AIT (EUV) images LaFontaine, Global Foundries 1 µm 50

51 2009 MIRAI 2 (Actinic Dark-Field Inspection Tool) 26x imaging 0.1 < NA < 0.25 Terasawa, 2009 Lithography Workshop 51

52 2009 MIRAI 2 (Actinic Dark-Field Inspection Tool) scanning Terasawa, 2009 From: Lithography T. Terasawa, Selete Workshop 52

53 2009 MIRAI 2 (Actinic Dark-Field Inspection Tool) Terasawa, 2009 From: Lithography T. Terasawa, Selete Workshop 53

54 late-2009 Hanyang AIMS and CSM (Ahn, et al.) x-ray CCD (Field Spectrum Measurement) photo diode: EUV reflectivity m easurement pin hole fast shutter dual Zr filter / quardruple P D PAL 11B SR Bending Light ellipsometry 6 mask X-Y axis movement CSM Low-res. AIMS Mask contamination Mask pattern imaging position : 6 degree injection Mask contamination position: EUV acceleration EUV reflectivity Carbon thickness - real time measurement Mass Spectroscopy Residual Gas Analysis Lee, 2009 Int l From: EUVL T. Terasawa, Workshop Selete 54

55 late-2009 Hanyang AIMS and CSM (Ahn, et al.) Soft x-ray CCD Zoneplate-based AIMS EUV inlet port Multi-layer mirror Gauge port Photo diode Condensing mirror Manipulator for Photo diode Zone plate View port Sample Manipulator for zone plate Stage Pumping port Vibration damper Lee, 2009 Int l From: EUVL T. Terasawa, Workshop Selete 55

56 2009: Past, Current, and Future Projects EUV LLC/LBNL Lucent NTT MIRAI SEMATECH/LBNL U. Hyogo Exitech U. Bielefeld U. Hyogo Future... (proposal) Bridge Tool INVENT/CNSE SEMATECH/LBNL MIRAI/Selete Hanyang U. / Pohang SEMATECH / LBNL 56

57 2009: Where can I buy an EUV mask inspection, imaging tool? 6/30/ The high- volume manufacturing (HVM) solutions needed for 22 nm manufacturing do not exist, and funding is a big problem.... Bryan Rice Director of lithography SEMATECH Mask blank inspection tooling has no commercial suppliers who have committed to building the tool, Rice said, with identical challenges in mask defect review and mask pattern inspection. 57

58 2009: Where can I buy an EUV mask inspection, imaging tool? 6/30/ The high- volume manufacturing (HVM) solutions needed for 22 nm manufacturing do not exist, and funding is a big problem.... Bryan Rice Director of lithography SEMATECH Mask blank inspection tooling has no commercial suppliers who have committed to building the tool, Rice said, with identical challenges in mask defect review and mask pattern inspection. Barack Obama, U.S. President YES, WE CAN! Let s build an actinic Bridge Tool to fill the gap! 58

59 Special Thanks to: Vivek Bakshi, Hiroo Kinoshita, Jeff Bokor, Seongtae Jeong, Chris Walton, Scott Hector, Steve Spector, Yoshihiro Tezuka, Tsuneo Terasawa, Jinho Ahn, Bruno LaFontaine, Pei-Yang Yan, Ulf Kleineberg, and the members of their teams! 59

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Soft X - Ray Optics: Fundamentals and Applications

Soft X - Ray Optics: Fundamentals and Applications Soft X - Ray Optics: Fundamentals and Applications University of California, Berkeley and Center for X-Ray Optics Lawrence Berkeley National Laboratory 1 The Short Wavelength Region of the Electromagnetic

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

X-Ray Interaction with Matter: Absorption, Scattering and Refraction X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Overview European EUVL programme

Overview European EUVL programme Rob Hartman Overview European EUVL programme Rob Hartman 3 rd International EUVL Symposium Miyazaki, 3 November, 2004 Rob Hartman Agenda When EUV? European programme in risk areas Source Tool & Optics

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum

More information

Status of multilayer coatings for EUV Lithography

Status of multilayer coatings for EUV Lithography Status of multilayer coatings for EUV Lithography Yuriy Platonov 1, Jim Rodriguez 1, Michael Kriese 1 Eric Louis 2, Torsten Feigl 3, Sergey Yulin 3, 1 Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

High-Harmonic Generation II

High-Harmonic Generation II Soft X-Rays and Extreme Ultraviolet Radiation High-Harmonic Generation II Phasematching techniques Attosecond pulse generation Applications Specialized optics for HHG sources Dr. Yanwei Liu, University

More information

Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems

Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Director of the Particle Technology Laboratory Mechanical Engineering

More information

-I (PH 6151) UNIT-V PHOTONICS AND FIBRE OPTICS

-I (PH 6151) UNIT-V PHOTONICS AND FIBRE OPTICS Engineering Physics -I (PH 6151) UNIT-V PHOTONICS AND FIBRE OPTICS Syllabus: Lasers Spontaneous and stimulated emission Population Inversion -Einstein s co-efficient (Derivation)- types of lasers-nd-yag,co

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Optics Optical Testing and Testing Instrumentation Lab

Optics Optical Testing and Testing Instrumentation Lab Optics 513 - Optical Testing and Testing Instrumentation Lab Lab #6 - Interference Microscopes The purpose of this lab is to observe the samples provided using two different interference microscopes --

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Nanoscale optical circuits: controlling light using localized surface plasmon resonances

Nanoscale optical circuits: controlling light using localized surface plasmon resonances Nanoscale optical circuits: controlling light using localized surface plasmon resonances T. J. Davis, D. E. Gómez and K. C. Vernon CSIRO Materials Science and Engineering Localized surface plasmon (LSP)

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

X-Ray Spectro-Microscopy Joachim Stöhr Stanford Synchrotron Radiation Laboratory

X-Ray Spectro-Microscopy Joachim Stöhr Stanford Synchrotron Radiation Laboratory X-Ray Spectro-Microscopy Joachim Stöhr Stanford Synchrotron Radiation Laboratory X-Rays have come a long way Application to Magnetic Systems 1 µm 1895 1993 2003 http://www-ssrl.slac.stanford.edu/stohr/index.htm

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Multilayer optics for next-generation EUVL systems

Multilayer optics for next-generation EUVL systems Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

At-wavelength figure metrology of hard x-ray focusing mirrors

At-wavelength figure metrology of hard x-ray focusing mirrors REVIEW OF SCIENTIFIC INSTRUMENTS 77, 063712 2006 At-wavelength figure metrology of hard x-ray focusing mirrors Hirokatsu Yumoto, a Hidekazu Mimura, Satoshi Matsuyama, Soichiro Handa, and Yasuhisa Sano

More information

Superconducting Single-photon Detectors

Superconducting Single-photon Detectors : Quantum Cryptography Superconducting Single-photon Detectors Hiroyuki Shibata Abstract This article describes the fabrication and properties of a single-photon detector made of a superconducting NbN

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Frank Ceballos 1, Ming-Gang Ju 2 Samuel D. Lane 1, Xiao Cheng Zeng 2 & Hui Zhao 1 1 Department of Physics and Astronomy,

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

The Removal of Nanoparticles from Nanotrenches Using Megasonics

The Removal of Nanoparticles from Nanotrenches Using Megasonics NSF Center for Micro and Nanoscale Contamination Control The Removal of Nanoparticles from Nanotrenches Using Megasonics Pegah Karimi 1, Tae Hoon Kim 1, Ahmed A. Busnaina 1 and Jin Goo Park 2 1 NSF Center

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information