High Brightness EUV Light Source System Development for Actinic Mask Metrology

Size: px
Start display at page:

Download "High Brightness EUV Light Source System Development for Actinic Mask Metrology"

Transcription

1 High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne Duffy, Carlo Fanara, Wafa Kezzar, Blair Lebert, Keith Powell, Ouassima Sarroukh, Luc Tantart, Clement Zaepffel, Vasily S. Zakharov, Alan Michette*, Edmund Wyndham** NANO UV sas EPPRA sas * Dept of Physics, King s College, London, UK ** Pontificia Universidad Catolica de Chile

2 OUTLINE Remaining focus areas for EUVL deployment Plasma radiation sources for mask inspection Multiplexed source for high power & brightness Nano-UV: EUV and soft X-ray source unit source characteristics charge energy scan in comparison with predictions Multiplexed high brightness EUV sources HYDRA 4 ABI HYDRA 12 AIMS HYDRA - APMI

3 EUV (13.5nm wavelength) lithography chosen for nano features microchip production HP NOW EUV for 22 nm HVM EUV source for HVM & actinic mask inspection - a key challenge facing the industry

4 Remaining Focus Areas EUVL Symposium, Tahoe Long-term source operation with 100 W at the IF and 5 megajoule per day EUVL Symposium, Prague Mask yield & defect inspection/review infrastructure 2 - Availability of defect-free masks, throughout a mask lifecycle, and the need to address critical mask infrastructure tool gaps, specifically in the defect inspection and defect review area Long-term source operation with 115 W at the IF for 5mJ/cm 2 resist sensitivity or with 200W at the IF for 10mJ/cm 2 resist sensitivity light source for Litho and mask inspection critical -

5 Actinic Mask Inspection - key source requirements based on current studies Source 2009 Baltimore High brightness, small etendue, high repetition rate, and clean light source is preferable

6 Multiplexing - a solution for high power & brightness Small size sources, with low enough etendue E 1 =A s Ω << 1 mm 2 sr can be multiplexed. The EUV power of multiplexed N sources is P EUV E N Ω τ The EUV source power meeting the etendue requirements increases as N 1/2 This allows efficient re-packing of radiators from 1 into N separate smaller volumes without losses in EUV power f EUV Radiance, MW/mm2 sr tin Z* Scan Mass Depth (rho*r), g/cm2 R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm Spatial-temporal multiplexing: The average brightness of a source and output power can be increased by means of spatial-temporal multiplexing with active optics system, totallizing sequentially the EUV outputs from multiple sources in the same beam direction without extension of the etendue or collection solid angle - compct physical size of SoCoMo?

7 Nano-UV: Current Product Development Generic Source Products high brightness unit source - CYCLOPS -B high brightness multiplexed source - HYDRA -B high power multiplexed source - HYDRA -P Research Metrology Products Nano-patterning Resists Exposure Tool - GeNI Soft X-ray In Vitro Microscope - McXI EUV Mask Inspection Microscope - McEUVI

8 Nano-UV: High Brightness EUV Source micro-plasma pulsed capillary discharge Typical Operating Conditions & Measured Performance use SXUV20 Mo/Si filtered diode (IRD) 3 nm EUV band (12.4 nm nm) (110 nm) Al on Si 3 N 4 (50 nm) to reject OoB discharge in He/Ar & He/Ar/Xe admixture electrical stored energy J kv, 1-3 khz operation radiation pulse < 40 ns irradiance measured at different distances EUV power at beam spot - > 3 W at 1 khz plasma can be optimized for high power or high irradiance typical etendue to mm 2.sr V=25.4kV ( 1.24nF) EUV diode Discharge Voltage Spot-scan spatial profile Photodiode signal (mv) Maxpulse B Data: Data1_Maxpulse Model: Gauss Distance (mm) GEN-II CYCLOPS cells Chi^2/DoF = R^2 = y ± xc ± w ± A ± HIGH POWER Mode Irradiance 3.5 W/cm 2 at 50 cm from plasma source Delivered Power is 3.9 W over a 16 mm 1 khz

9 Source Characteristics I - irradiance vs stored energy Measurement parameters - Average mode over 128 shots: - 1.6mm diameter capillary - working pressure P =20mtorr - He:Ar:Xe mixture - distance between diode and the capillary=50cm - operating frequency = 1 khz - diode quantum 13.5nm=1.4e/ph - diode filter transmission band = 3nm ( nm) Irradiance at the profile maximum (x 10e17 ph/cm2/s) Energy scan experiment (in 3nm band) EUV In-band energy EUV energy per shot, per shot, μ J mj Stored energy (J) Stored energy, mj Energy scan calculated (in 2% band)

10 Source Characteristics II Optimization Measurements - filtered IRD diodes on translation stages at 3 axial positions from the radiation source - transverse scan to obtain radiation profile and irradiance - Gaussian envelope fit to calculate power - use 1/e 2 beam spot diameter measured and beam expansion angle to estimate etendue - 21 kv operation at 1 khz, He-Ar admixture - at 62 cm radiation (3nm band) peak irradiance = 6.4 W/cm 2 power in spot = 2.2 W beam FWHM = 5.8 mm etendue < 7 E-3 mm 2. sr (max) etendue and power 75cm Cyclops Photodiode signal (V) Average over 128 shots V break 62 cm from source 35mtorr (He:Ar) int(v(t)dt)= 127nVs τ=54ns N ph =4.36 e17 ph/cm 2 /s Time (ns) Diode signal at peak Photodiode signal (V) Maxpulse B Data: A62cm_Maxpulse Model: Gauss Chi^2/DoF = R^2 = y ± xc ± w ± A ± FWHM 5.8mm Distance (mm) Scanned signal profile Radial distance (mm) HWHM linear fit beam expansion Half angle =0.30 solid angle= 8.8e-5 sr Axial distance from the capillary (mm) HWHM obtained at 3 locations

11 Source Characteristics III - wavefront measurement HASO X EUV Shack Hartmann wavefront sensor (manufactured by Imagine Optic) EUV source HASO 1890 mm EUV beam diameter d= 9.75 mm at R=1890 mm from source Beam divergence half angle 0.19 Solid angle: Ω = msr Acquired image 60s exposure, source at 1 khz Derived wavefront 166 nm RMS (12 λ) & (root-mean-square deviation) 760nm PV (58 λ) (peak to valley) * With support of G. Dovillaire, E. Lavergne from Imagine Optic and P. Mercere, M.Idir from SOLEIL Synchrotron

12 EUV Source Product GEN II emission characteristic stability test Photodiode signal (V) Discharge voltage (kv) The emission-voltage discharge characteristic enables one to correct the radiation output level and to control the dose stability

13 HYDRA -ABI - spatial multiplexing for blank inspection Design Specifications 60 W/mm 2.sr in band 2% EUV radiant brightness at the IF 0.6 W at the IF etendue 10 2 mm 2.sr source area 31 mm 2 / TBD optimized for mask blank inspection 4x i SoCoMo units working at 3 khz each no debris / membrane filter close packed pupil fill Current Status 4 units integration & characterization single unit optimization ML mirrors evaluation & modelling

14 HYDRA 4 -ABI - pupil arrangements Radiation observed on a fluorescent screen 70 cm downstream ALL 4 Sources Source 1 only Source 2 only Source 4 only Source 3 only 25 mm All 4 sources aligned to a point without use of any solid optical collector Each source turned on separately and aligned to a different corner

15 HYDRA -AIMS - spatial multiplexing with variable σ Design Specifications 100 W/mm 2.sr in band 2% EUV brightness 2.4W at the IF etendue mm 2.sr (50% fill pupil) source area 4mm 2 / variable σ optimized for aerial image measurements 12x i SoCoMo units, 5 khz working each no debris / membrane filter variable pupil fill and σ Current Status system characterization single unit optimization ML mirrors modelling curved ML plane ML

16 HYDRA 12- AIMS - prototype system A EUV Source for Mask Metrology

17 The Cross Talk Test 2 Cells operation 20KV ; 1 KHz Operation Alignment on SUXV 5 Photodiode Intentionally off axis Cell 1 Pulse discharge Photodiode By intentionally off axis the beam light of cell 1 No impact on the Beam Light of Cell2 Multiplexing sources Choice is proven as agile approach to reduce cost

18 HYDRA -APMI - unique temporal & spatial multiplexing Design Specifications 1200 W/mm 2.sr in band EUV radiant brightness 2.4 W at the IF etendue mm 2.sr source area 20 mm 2 optimized for patterned mask inspection 8x i SoCoMo units working at 3 khz each 24 khz temporally multiplexed no debris / membrane filter Gaussian output spot Current Status optics design & modelling single unit optimization mechanical design

19 HYDRA - metrology sources - ROADMAP

20 Acknowledgements Collaborators Pontificia Universidad Catolica de Chile RRC Kurchatov Institute, Moscow, Russia Keldysh Institute of Applied Mathematics RAS, Moscow, Russia University College Dublin King s College London Sponsors EU & French Government ANR- EUVIL OSEO-ANVAR RAKIA EUV LITHO, Inc.

21 HYDRA Ultra high brightness modular construction small foot print low cost of ownership adaptable to user needs A New Technical Capability Arising

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

High Brightness EUV Light Source for Metrology

High Brightness EUV Light Source for Metrology High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM 3 Remaining

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

EUV & Soft-X X Radiation Plasma Sources

EUV & Soft-X X Radiation Plasma Sources Properties of High-Intensity EUV & Soft-X X Radiation Plasma Sources Sergey V. Zakharov +, Vasily S. Zakharov 3, Peter Choi EPPRA sas, Villebon sur Yvette, France NRC Kurchatov Institute, Moscow, Russia

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope.

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope. OJC «RPC «Precision Systems and Instruments», Moscow, Russia A. Alexandrov, V. Shargorodskiy On the possibility to create a prototype of laser system for space debris movement control on the basis of the

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

SEMATECH 157nm Technical Review

SEMATECH 157nm Technical Review SEMATECH 157nm Technical Review Technical Status Report on F2 - Lasers for 157nm Lithography I. Klaft a), F. Voss a), I. Bragin a), E. Bergmann a), T. Nagy a), N. Niemöller a), K.Vogler a), S. Spratte

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

BEUV nanolithography: 6.7 or 11 nm?

BEUV nanolithography: 6.7 or 11 nm? BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

AMO physics with LCLS

AMO physics with LCLS AMO physics with LCLS Phil Bucksbaum Director, Stanford PULSE Center SLAC Strong fields for x-rays LCLS experimental program Experimental capabilities End-station layout PULSE Ultrafast X-ray Summer June

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Microbunching Workshop 2010 March 24, 2010, Frascati, Italy. Zhirong Huang

Microbunching Workshop 2010 March 24, 2010, Frascati, Italy. Zhirong Huang Measurements of the LCLS Laser Heater and its impact on the LCLS FEL Performance Z. Huang for the LCLS commissioning team LCLS 1 1 Outline Introduction LCLS setup and measurements Effects on FEL performance

More information

Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths

Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths K. Mann J.O. Dette, F. Kühl, U. Leinhos, M. Lübbecke, T. Mey, M. Müller, M. Stubenvoll, J. Sudradjat, B. Schäfer Laser-Laboratorium

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

Pushing the limits of laser synchrotron light sources

Pushing the limits of laser synchrotron light sources Pushing the limits of laser synchrotron light sources Igor Pogorelsky National Synchrotron Light Source 2 Synchrotron light source With λ w ~ several centimeters, attaining XUV region requires electron

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Wigner distribution measurement of the spatial coherence properties of FLASH

Wigner distribution measurement of the spatial coherence properties of FLASH Wigner distribution measurement of the spatial coherence properties of FLASH Tobias Mey Laser-Laboratorium Göttingen e.v. Hans-Adolf-Krebs Weg 1 D-37077 Göttingen EUV wavefront sensor Experimental setup

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site 1 Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site Sakhorn Rimjaem (on behalf of the PITZ team) Motivation Photo Injector Test Facility at

More information

MEASUREMENT OF TEMPORAL RESOLUTION AND DETECTION EFFICIENCY OF X-RAY STREAK CAMERA BY SINGLE PHOTON IMAGES

MEASUREMENT OF TEMPORAL RESOLUTION AND DETECTION EFFICIENCY OF X-RAY STREAK CAMERA BY SINGLE PHOTON IMAGES Proceedings of IBIC212, Tsukuba, Japan MEASUREMENT OF TEMPORAL RESOLUTION AND DETECTION EFFICIENCY OF X-RAY STREAK CAMERA BY SINGLE PHOTON IMAGES A. Mochihashi, M. Masaki, S. Takano, K. Tamura, H. Ohkuma,

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

Laboratory 3&4: Confocal Microscopy Imaging of Single-Emitter Fluorescence and Hanbury Brown and Twiss setup for Photon Antibunching

Laboratory 3&4: Confocal Microscopy Imaging of Single-Emitter Fluorescence and Hanbury Brown and Twiss setup for Photon Antibunching Laboratory 3&4: Confocal Microscopy Imaging of Single-Emitter Fluorescence and Hanbury Brown and Twiss setup for Photon Antibunching Jose Alejandro Graniel Institute of Optics University of Rochester,

More information

Short Pulse, Low charge Operation of the LCLS. Josef Frisch for the LCLS Commissioning Team

Short Pulse, Low charge Operation of the LCLS. Josef Frisch for the LCLS Commissioning Team Short Pulse, Low charge Operation of the LCLS Josef Frisch for the LCLS Commissioning Team 1 Normal LCLS Parameters First Lasing in April 10, 2009 Beam to AMO experiment August 18 2009. Expect first user

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification Nuclear Instruments and Methods in Physics Research B 210 (2003) 250 255 www.elsevier.com/locate/nimb Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Laser Supported Detonation in Silica-based Optical Fibers

Laser Supported Detonation in Silica-based Optical Fibers 25 th ICDERS August 2 7, 2015 Leeds, UK Laser Supported Detonation in Silica-based Optical Fibers Vladimir P. Efremov, Artem A. Frolov, and Vladimir E. Fortov Joint Institute for High Temperatures of Russian

More information

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology Laser triggered Z-pinch broadband extreme ultraviolet source for metrology I. Tobin, L. Juschkin, Y. Sidelnikov, F. O Reilly, P. Sheridan et al. Citation: Appl. Phys. Lett. 102, 203504 (2013); doi: 10.1063/1.4807172

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Enhanced Performance of Multilayer Optics for Water Window Microscopy Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,

More information

Photobiological Safety of Luminaires: Refining the New Approach

Photobiological Safety of Luminaires: Refining the New Approach Photobiological Safety of Luminaires: Refining the New Approach Leslie Lyons Bentham Instruments Limited Reading, UK llyons@bentham.co.uk We are all familiar with the visual characteristics of lighting

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Vladimir Novikov. 4 th July nd October 2015

Vladimir Novikov. 4 th July nd October 2015 4 th July 1950 2 nd October 2015 was born in Kemerovo, Siberia Alma Mater Moscow Institute of Physics and Technology In 1973 Vladimir received M.Sc in Physics from MIPT Keldysh Institute of Applied Mathematics,

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Coulomb crystal extraction from an ion trap for application to nano-beam source"

Coulomb crystal extraction from an ion trap for application to nano-beam source Coulomb crystal extraction from an ion trap for application to nano-beam source" K. Ito, K. Izawa, H. Higaki and H. Okamoto,! Aadvanced Sciences of Matter, Hiroshima University,! 1-3-1 Kagamiyama, Higashi-Hiroshima,

More information

Supplementary Figure 3. Transmission spectrum of Glass/ITO substrate.

Supplementary Figure 3. Transmission spectrum of Glass/ITO substrate. Supplementary Figure 1. The AFM height and SKPM images of PET/Ag-mesh/PH1000 and PET/Ag-mesh/PH1000/PEDOT:PSS substrates. (a, e) AFM height images on the flat PET area. (c, g) AFM height images on Ag-mesh

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information