Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Size: px
Start display at page:

Download "Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source"

Transcription

1 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering, Kyushu University *School of Medicine, Kyushu University

2 2 EUV light source for lithography The extreme ultraviolet (EUV) light source at 13.5 nm has been developed for the next generation optical lithography below the 32nm technology node. Generation method LPP (Laser Produced Plasma) Mo-Si multilayer mirror with a reflectivity of 67 % at 13.5nm EUV light Schematic of LPP Lithographic System 180W at intermediate focus Requirements Mirror Lifetime > Pulse Intermediate focus Power > 180 Repetition rate : 7~10 khz Etendue < 3.3 mm 2 sr Debris Nd:YAG lasers(1.06 µm) or CO 2 lasers (10.6 µm) is employed as a driver laser for LPP. Sn is used as a promising target material for laser irradiation.

3 3 Problem of development of EUVL 1. The conversion efficiency from laser light to EUV light is low. Some improvement is achieved by using CO 2 laser and Sn target. 2. Debris generated by the Sn plasma damages collector optics and limits the lifetime of the optics in the lithographic system. Debris Fast ions Neutral atoms Clusters It is difficult to mitigate the neutral atoms by a shield. Approach for the solution 1. Mass limited target 2. Double pulse irradiation

4 Double pulse irradiation scheme Droplet EUV Light Dense cloud Evaporation Expansion Plasma 1. Pre-pulse irradiation Target expansion 2. Main-pulse irradiation

5 Configuration of double pulse irradiation scheme Sn is used as a promising target material for laser irradiation. Double pulses of a Nd:YAG laser(1.06 µm) and a CO 2 laser (10.6 µm) are employed as driver lasers for LPP. Mo-Si multilayer mirror R= 67 % at 13.5nm Nozzle EUV light 13.5nm 10~30 µm Lithographic System 180W at intermediate focus

6 Purpose Aim of double pulse irradiation scheme Sufficient EUV light generation Mitigation of debris such as neutral atoms and large size particulates The ablation dynamics of a Sn droplet irradiated by double laser pulses from a Nd:YAG laser and a CO 2 laser was investigated. measurement methods LIF imaging system High speed shadowgraph imaging system

7 Experimental setup for debris measurement by LIF imaging and shadowgraph imaging 7 Dye Laser GICCD Camera Beam Expander Sheet Beam Band Pass Filter Data Acquisition System Trigger Pulse Generator LD High-Speed Camera Laser Induced Fluorescence (LIF) Laser intensity : 5x10 11 W/cm 2 Nd:YAG Laser Lens Vacuum Pump Target Holder High Magnification Lens

8 The spatial distribution of Sn atoms from the Sn droplet target 8 Droplet size: 30 µmφ Delay: 100 ns 200 ns 400 ns 700 ns Laser Beam 10 mm 1 µs 1.3 µs 2 µs 3 µs Sn atoms were observed even from a small amount target such as a micro-droplet. (The droplet of this size was not ionized completely. ) The kinetic speed of the Sn atoms was estimated to be 20 km/s.

9 9 Ablation dynamics of the droplet target at a later delay time Droplet size: 30 µmφ Delay: 0 ns 200 ns 400 ns 100 µm 600 ns 800 ns 1000 ns The drifting particles concentrated to some grains at a later delay time of 800 ns to 1 µs. It was found that the grains were molten Sn target with trapping on a glass substrate mounted rear the target.

10 Influence of the pre-pulse intensity on the ablation dynamics Nd:YAG Laser Beam( t = 10 ns, Spot size: 40 µm) W/cm 2 a 200 ns 400 ns 600 ns 800 ns W/cm 2 b W/cm 2 c W/cm 2 d 100 µm The expanding speed increases with increasing the intensity of the pre-pulse. The target was hardly expanded at lower intensity of W/cm 2

11 Beam position dependence of the target expansion direction 11 The target position before irradiation Nd:YAG Laser Beam (Spot size 40 µm) Sn Droplet z (e) 60 µm (d) 30 µm (c) 15 µm (b) 0 µm (a) -15 µm The shadowgraphs of the dense cloud at the 300 ns delay from the pre-pulse irradiation when the target position was changed. a c b d e 100 µm The distribution of the dense cloud strongly depends on the beam position of pre-pulse. The arraignment of the beam and the target become a problem.

12 Shadowgraph of the droplet target irradiated by double pulses 12 Nd:YAG Laser Beam x z Sn Droplet Nd:YAG laser: W/cm 2 CO 2 laser: W/cm 2 Delay of main-pulse: 800 ns Delay: 0 ns 600 ns y CO 2 Laser Beam ICCD Camera 100 µm 800 ns 1 µs 1.2 µs After CO 2 laser irradiation the dense cloud disappeared. DPI scheme is useful the mitigation of the particulates.

13 13 Summary The behavior of debris from the Sn droplet target was investigated by the LIF imaging and the high-speed camera system. Sn atoms are ejected in all directions with a speed of about 20 km/s by LIF. It was found that the molten Sn particles remained without vaporization. After CO 2 laser irradiation, the dense cloud disappeared. DPI scheme was also useful the mitigation of the particulates. Acknowledgement A part of this work was performed under the contract subject "Leading Project for EUV lithography source development."

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source Appl. Phys. B 92, 73 77 (2008) DOI: 10.1007/s00340-008-3068-5 Applied Physics B Lasers and Optics a. takahashi 1, d. nakamura 2 k. tamaru 2 t. akiyama 2 t. okada 2 Emission characteristics of debris from

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Utsunomiya University Experiments, September - November 2011

Utsunomiya University Experiments, September - November 2011 Colm O Gorman 1, Thomas Cummins 1, Takamitsu Otsuka 2, Noboru Yugami 2,4,Weihua Jiang 5, Akira Endo 6, Bowen Li 1, Padraig Dunne 1,Emma Sokell 1, Gerry O Sullivan 1 and Takeshi Higashiguchi 2,4 Utsunomiya

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair

Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Controlling ion kinetic energy distributions in laser produced plasma sources by means of a picosecond pulse pair Aneta S. Stodolna 1, Tiago de Faria Pinto 1, Faisal Ali 1, Alex Bayerle 1, Dmitry Kurilovich

More information

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2

Studies of high-repetition-rate laser plasma EUV sources from droplet targets e. turcu 2 Appl. Phys. A 77, 217 221 (2003) DOI: 10.1007/s00339-003-2142-4 Applied Physics A Materials Science & Processing c. keyser 1, g. schriever 1, m. richardson 1, Studies of high-repetition-rate laser plasma

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas

Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas Investigation of fundamental mechanisms related to ambient gas heating and hydrodynamics of laser-induced plasmas P. J. Skrodzki Acknowledgements This work is supported by the DOE/NNSA Office of Nonproliferation

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

Outline. LIBS Background. LIBS Developments. LIBS Overview. Atomic Emission Spectroscopy

Outline. LIBS Background. LIBS Developments. LIBS Overview. Atomic Emission Spectroscopy Introduction to Laser Induced Breakdown Spectroscopy (LIBS) for Glass Analysis Module 4 José R. Almirall, Erica Cahoon, Maria Perez, Ben Naes, Emily Schenk and Cleon Barnett Department of Chemistry and

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

Supplementary information

Supplementary information Supplementary information Binding energies, lifetimes, and implications of bulk and interface solvated electrons in water Katrin R. Siefermann 1, Yaxing Liu 1, Evgeny Lugovoy 2, Oliver Link 1, Manfred

More information

Laser-Induced Explosion and Detonation in Gas-Particle and Gas-Droplet Mixtures

Laser-Induced Explosion and Detonation in Gas-Particle and Gas-Droplet Mixtures Laser-Induced Explosion and Detonation in Gas-Particle and Gas-Droplet Mixtures Dr Konstantin Volkov Centre for Fire and Explosion Studies Kingston University Friars Avenue, Roehampton Vale, SW15 3DW London

More information

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM Kazutoshi Takenoshita a, Simi A. George a, Tobias Schmid a, Chiew-Seng Koay a*, Jose Cunado a, Robert Bernath a, Christopher

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Large Plasma Device (LAPD)

Large Plasma Device (LAPD) Large Plasma Device (LAPD) Over 450 Access ports Computer Controlled Data Acquisition Microwave Interferometers Laser Induced Fluorescence DC Magnetic Field: 0.05-4 kg, variable on axis Highly Ionized

More information

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV spectroscopy of mass-limited Sn-doped laser microplasmas EUV spectroscopy of mass-limited Sn-doped laser microplasmas Simi George, Chiew-Seng Koay, Kazutoshi Takenoshita, Robert Bernath, Moza Al-Rabban a, Christian Keyser b, Vivek Bakshi c, Howard Scott d, &

More information

Rejection of Recombination and Electron Collision Process in the Laser Plasma Generated by the Nd-YAG Laser Irradiation at Low Pressures

Rejection of Recombination and Electron Collision Process in the Laser Plasma Generated by the Nd-YAG Laser Irradiation at Low Pressures Rejection of Recombination and Electron Collision Process in the Laser Plasma Generated by the Nd-YAG Laser Irradiation at Low Pressures Marincan Pardede 1 and Hendrik Kurniawan 1* 1 Applied Spectroscopy

More information

Consequences of high-frequency operation on EUV source efficiency

Consequences of high-frequency operation on EUV source efficiency Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette,

More information

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W.

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W. Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W. Published in: Physical Review Letters DOI: 10.1103/PhysRevLett.98.075002 Published:

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Laser plasma EUVL sources progress and challenges

Laser plasma EUVL sources progress and challenges Laser plasma EUVL sources progress and challenges M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser *, S. George, S. Teerawattansook Laser Plasma Laboratory, School of Optics: CREOL & FPCE University

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

AIAA Low-Temperature Supersonic Boundary Layer Control Using Repetitively Pulsed MHD Forcing. Munetake Nishihara, Naibo Jiang,

AIAA Low-Temperature Supersonic Boundary Layer Control Using Repetitively Pulsed MHD Forcing. Munetake Nishihara, Naibo Jiang, AIAA 2005-5178 Low-Temperature Supersonic Boundary Layer Control Using Repetitively Pulsed MHD Forcing Munetake Nishihara, Naibo Jiang, J. William Rich, Walter R. Lempert, Igor V. Adamovich Dept. of Mechanical

More information

Introduction to Liquid-Wall Chamber Configurations and Phenomena

Introduction to Liquid-Wall Chamber Configurations and Phenomena Introduction to Liquid-Wall Chamber Configurations and Phenomena Per F. Peterson Department of Nuclear Engineering University of California, Berkeley IAEA TCM Meeting Vienna, Austria May 20-24, 2001 The

More information

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application )

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application ) Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application ) Shinsuke FUJIOKA, Hiroaki NISHIMURA, Katsunobu NISHIHARA, Noriaki MIYANAGA, Yasukazu IZAWA, Kunioki

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Fuel Tracer Laser Induced Fluorescence for Droplet Liquid Vapor Visualization

Fuel Tracer Laser Induced Fluorescence for Droplet Liquid Vapor Visualization Fuel Tracer Laser Induced Fluorescence for Droplet Liquid Vapor Visualization Presenter: Keisuke Sato Mentor: Dr. Terrence Meyer 1 Motivation Combustor inside engine Droplet & Vapor cloud Vapor cloud Droplet

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation Journal of Physics: Conference Series PAPER OPEN ACCESS Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation To cite this article: Yoshi Hirooka et al 2016 J. Phys.:

More information

Microfabricação em materiais poliméricos usando laser de femtossegundos

Microfabricação em materiais poliméricos usando laser de femtossegundos Microfabricação em materiais poliméricos usando laser de femtossegundos Prof. Cleber R. Mendonça http://www.fotonica.ifsc.usp.br University of Sao Paulo - Brazil students 77.000 52.000 undergrad. 25.000

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

Dynamics of carbon and tungsten colliding plumes

Dynamics of carbon and tungsten colliding plumes Dynamics of carbon and tungsten colliding plumes H. Sato 2,Y. Hirooka 1, K. A. Tanaka 2 and the Reactor Eng. Group 2 1) National Institute for Fusion Science 2) Osaka University 2009,Oct 8 th -9 th TITAN

More information

Coulomb crystal extraction from an ion trap for application to nano-beam source"

Coulomb crystal extraction from an ion trap for application to nano-beam source Coulomb crystal extraction from an ion trap for application to nano-beam source" K. Ito, K. Izawa, H. Higaki and H. Okamoto,! Aadvanced Sciences of Matter, Hiroshima University,! 1-3-1 Kagamiyama, Higashi-Hiroshima,

More information

Harmonic Generation for Photoionization Experiments Christian J. Kornelis Physics REU Kansas State University

Harmonic Generation for Photoionization Experiments Christian J. Kornelis Physics REU Kansas State University Harmonic Generation for Photoionization Experiments Christian J. Kornelis Physics REU Kansas State University The Basic Setup for the KLS Photoionization Experiment V. Kumarappan Femtosecond Pump-Probe

More information

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe,

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

PISCES Laser Transient Systems

PISCES Laser Transient Systems Laser Transient Systems Karl R. Umstadter for Team Center for Energy Research University of California San Diego, USA February 11, 2009 Overview Introduction Use of Laser Heat Pulses PA Short Pulse Update

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Spectral control of emissions from Sn-doped targets for EUV lithography

Spectral control of emissions from Sn-doped targets for EUV lithography University of California, San Diego UCSD-CER-05-05 Spectral control of emissions from Sn-doped targets for EUV lithography S. S. Harilal, B. O Shay, M. S. Tillack and Y. Tao August 2005 Center for Energy

More information

Laser processing of materials. Temperature distributions

Laser processing of materials. Temperature distributions Laser processing of materials Temperature distributions Prof. Dr. Frank Mücklich Dr. Andrés Lasagni Lehrstuhl für Funktionswerkstoffe Sommersemester 7 Contents: Temperature distributions 1. Definitions.

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics Jyhpyng Wang ( ) Institute of Atomic and Molecular Sciences Academia Sinica, Taiwan National

More information

Computational Study on the Effect of the Pulse Length on Laser Ablation Processes

Computational Study on the Effect of the Pulse Length on Laser Ablation Processes Lasers in Manufacturing Conference 015 Computational Study on the Effect of the Pulse Length on Laser Ablation Processes "Stefan Tatra *, Rodrigo Gómez Vázquez, Andreas Otto" "Vienna University of Technology,

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup 1 Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup Abstract Jacob Begis The purpose of this lab was to prove that a source of light can be

More information

Application of the EQP and EQS mass spectrometers to time resolved studies in ion beam and plasma processes

Application of the EQP and EQS mass spectrometers to time resolved studies in ion beam and plasma processes PLASMA DIAGNOSTICS EQP APPLICATION NOTES Application of the EQP and EQS mass spectrometers to time resolved studies in ion beam and plasma processes EQP and EQS mass spectrometers can be used to study

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Spectroscopic Diagnostics of Laser Plasma Plume of Aluminum

Spectroscopic Diagnostics of Laser Plasma Plume of Aluminum Optics 2015; 4(5): 31-36 Published online October 8, 2015 (http://www.sciencepublishinggroup.com/j/optics) doi: 10.11648/j.optics.20150405.11 ISSN: 2328-7780 (Print); ISSN: 2328-7810 (Online) Spectroscopic

More information

Plasma Behaviours and Magnetic Field Distributions of a Short-Pulse Laser-Assisted Pulsed Plasma Thruster

Plasma Behaviours and Magnetic Field Distributions of a Short-Pulse Laser-Assisted Pulsed Plasma Thruster Plasma Behaviours and Magnetic Field Distributions of a Short-Pulse Laser-Assisted Pulsed Plasma Thruster IEPC-2015-91325 Presented at Joint Conference of 30th International Symposium on Space Technology

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

Multiple charge states of titanium ions in laser produced plasma

Multiple charge states of titanium ions in laser produced plasma PRAMANA cfl Indian Academy of Sciences Vol. 55, Nos 5 & 6 journal of Nov. & Dec. 2000 physics pp. 781 787 Multiple charge states of titanium ions in laser produced plasma M SHUKLA, S BANDHYOPADHYAY, V

More information

Peculiarities of Modeling LPP Source at 6.X nm

Peculiarities of Modeling LPP Source at 6.X nm V.Novikov, V.Ivanov, K.Koshelev, V.Krivtsun, A.Grushin, R.Kildiyarova, A.Solomyannaya Peculiarities of Modeling LPP Source at 6.X nm Outline Theoretical base Optimal plasma parameters Band position Scaling

More information

Time Scale of the Quaternary Structural Change in Hemoglobin Revealed by Transient Grating Technique

Time Scale of the Quaternary Structural Change in Hemoglobin Revealed by Transient Grating Technique Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 015 Electronic Supplementary Information Time Scale of the Quaternary Structural Change

More information

INTERNATIONAL ATOMIC ENERGY AGENCY Division of Physical and Chemical Sciences Physics Section

INTERNATIONAL ATOMIC ENERGY AGENCY Division of Physical and Chemical Sciences Physics Section INTERNATIONAL ATOMIC ENERGY AGENCY Division of Physical and Chemical Sciences Physics Section Second Research Co-ordination Meeting Co-ordinated of the ordinated Research Project on Elements of Power Plant

More information

Fundamental Study of Laser Micro Propulsion Using Powdered-Propellant

Fundamental Study of Laser Micro Propulsion Using Powdered-Propellant Fundamental Study of Laser Micro Propulsion Using Powdered-Propellant IEPC-2007-230 Presented at the 30 th International Electric Propulsion Conference, Florence, Italy S. Yokoyama *, and H. Horisawa Tokai

More information

30 MM CAGE CUBE-MOUNTED CIRCULAR POLARIZERS

30 MM CAGE CUBE-MOUNTED CIRCULAR POLARIZERS 30 MM CAGE CUBE-MOUNTED CIRCULAR POLARIZERS Creates Circularly Polarized Output from Arbitrarily Polarized Input 30 mm Cage Cube Housing Wave Plate can be Rotated to Output Linear or Elliptical Polarization

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information Speckle-free laser imaging using random laser illumination Brandon Redding 1*, Michael A. Choma 2,3*, Hui Cao 1,4* 1 Department of Applied Physics, Yale University, New Haven,

More information

Behavior and Energy States of Photogenerated Charge Carriers

Behavior and Energy States of Photogenerated Charge Carriers S1 Behavior and Energy States of Photogenerated Charge Carriers on Pt- or CoOx-loaded LaTiO2N Photocatalysts: Time-resolved Visible to mid-ir Absorption Study Akira Yamakata, 1,2* Masayuki Kawaguchi, 1

More information