A short pulsed laser cleaning system for EUVL tool

Size: px
Start display at page:

Download "A short pulsed laser cleaning system for EUVL tool"

Transcription

1 A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc , Kiyohara-Kogyodanchi, Utsunomiya-shi, Tochigi , Japan Tel.: , Fax.:

2 Introduction and background Reticle particle adhesion? Illumination light Projection optics particle generation: 1. high speed reticle stage 2. reticle handling 3. debris from light source 4. gas to particle conversion, etc To prevent a defect due to particles: Wafer 1. Using particle free materials and mechanics 2. Developing reticle protection method in vacuum In-situ laser cleaning method International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 2

3 Introduction and background (Cont.) 1. Conventional laser cleaning method In atmosphere Excimer laser Wafer Assist gas 2. Unknown factor Not clear about removal effect in vacuum and probability of substrate damage 3. Improvement in this study Verification of the removal on organic particles in vacuum(p~10-3 Pa) and then damage of Mo/Si multilayer International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 3

4 Application of laser cleaning system to EUVL tool sheet beam width: W [mm] controller reticle stage optics reticle DUV laser velocity: Vs [mm/s] In atmosphere (10 5 Pa) In vacuum (10-5 Pa) repetition rate: F [Hz] required numbers of pulse: N [#] System requirements : W F Vs > N energy density [mj/cm 2 ] wavelength [nm] pulse duration [ns] International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 4

5 A schematic diagram of experimental system Sample-making equipment Atomizer, Powder disperser 1064 nm 532 nm Experimental setup 355 nm 266 nm Attenuator Shutter Measurement facilities KLA SurfScan6420 diffusive adhesion aerosol DMA Neutralizer Chamber (P<10-3 Pa) Stage CNTRL PC YAG Laser Olympus Fluorescent Microscope Veeco AFM TORAY FE-SEM,TEM International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 5

6 Photographs of experimental system DMA Sample-making equipment neutralizer CPC Experimental setup Q-switched YAG laser FFU vacuum chamber slit lens, homogenizer sample chamber buffer chamber atomizer shutter attenuator test sample powder disperser Inside of the chamber beam irradiated area sample substrate International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 6

7 Examples of images of PSL particle removal KLA Surfscan image Olympus Fluorescent-microscope image 0.5 um PSL particle non-irradiated area irradiated area irradiated area 0.1 um PSL particle 338 um (mm) (mm) sub.: bare-si wafer sub.: Ru-capped Mo/Si multilayer International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 7

8 Removal experiments in atmosphere =266 nm =355 nm Ru-capped Mo/Si multilayer 0.1 um PSL =532 nm =1064 nm Dependence of removal rates on laser wavelength in atmosphere The removal using DUV laser is the most effective. International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 8

9 Removal experiments in atmosphere (Cont.) Si-capped Mo/Si multilayer 0.1 um PSL =266 nm International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 9 =355 nm =532 nm =1064 nm Dependence of removal rates on laser wavelength in atmosphere The removal using DUV laser is the most effective.

10 Removal experiments in vacuum Ru-capped Mo/Si multilayer 0.1 um PSL Si-capped Mo/Si multilayer 0.1 um PSL Removal rates at the laser wavelength of 266 nm in vacuum(p~10-3 Pa) Organic particles on Mo/Si multilayer can be removed in vacuum. International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 10

11 Cross-section of irradiated multilayer in vacuum Ru-capped Mo/Si multilayer Si-capped Mo/Si multilayer SEM image Mo/Si multilayer Mo/Si multilayer irradiated condition: 266 nm, 20 mj/cm^2, 400 pulse removal rate: ~100 % TEM image 300 nm No particular damage Ru-cap (2 nm) Mo/Si multilayer (280 nm) 300 nm Si-cap (11 nm) Mo/Si multilayer (280 nm) SiO2 sub. SiO2 sub. International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 11

12 Roughness of irradiated capping layer in vacuum Ru-capped Mo/Si multilayer Energy density 10 mj/cm 2 30 mj/cm 2 50 mj/cm 2 90 mj/cm 2 Numbers of pulse nm(rq) 0.30 nm(rq) 0.30 nm(rq) 0.39 nm(rq) 1m Numbers of pulse Energy density 20 mj/cm nm(rq) 0.28 nm(rq) 0.29 nm(rq) 0.29 nm(rq) 1m International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 12

13 Roughness of irradiated capping layer in vacuum (Cont.) Ru-capped Mo/Si multilayer Numbers of pulse:100 reference Energy density:20 mj/cm 2 reference Numbers of pulse:100 Energy density:20 mj/cm 2 Si-capped Mo/Si multilayer reference International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 13 reference Roughness of capping layer depends on laser energy density per pulse.

14 Comparison of the removal rates between in atmosphere and in vacuum 0.5 um PSL bare-si In atmosphere (P=10 5 Pa) In vacuum (P~10-3 Pa) irradiated condition: 266 nm, 20 mj/cm 2, 100 pulse removal rate: 47 % removal rate: 86 % [mj/cm 2 ] : <0.4 um : >0.4 um A short pulsed laser cleaning has an advantage in vacuum. International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 14

15 Particle trajectory model dv m = f f f dt p p D VDW G 3 πµ dp( vp vgas) idrag force: fd = Cc 1.1 Cc = 1+ Kn exp Kn Ad p ivan der walls force: fvdw = i 2 12z0 igravity force: f = m g j G x = z0 initial condition : x vpx =, vpy = 0 t p 0 substrate z0 = 0.5nm f d f vdw particle f g m (, ) p x y V p where, mp: particle mass[kg], vp: particle velocity[m/s], v : gas velocity[m/s], µ : gas viscosity[kg/m s], d z gas p : particle diameter[m], A: Hamaker constant[j], : atomic seperation length[m], 2 g: gravity acceleration[m/s ], Kn: particle Knudsen number[-] International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 15

16 Consideration of the difference of removal rates In atmosphere (10 5 Pa) In vacuum (~10-3 Pa) assumption of calculation 0.5m PSL / Bare-Si particle displacement z=2 nm at t= 7 ns substrate roughness:1 nm reattach remove In atmosphere => Predominant forces acting on the particle are drag force and van der Walls force. In vacuum => Particle Knudsen number, Kn~10 8, indicates free molecule regime, so that the drag force is negligible. International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 16

17 Summary 1. Using DUV short pulsed laser, organic particles on the EUV multilayer can be removed at the pressure around 10-3 Pa. 2. It is not found that there is any particular damage of the EUV multilayer at the above condition. 3. The removal of organic particles on the EUV multilayer strongly depends on the laser wavelength. 4. It is more effective to remove particles in vacuum than in atmosphere by using a short pulsed laser cleaning method. International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 17

18 Future plan 1. Verifying the removal effects of inorganic particles in vacuum 2. Conducting experiments on using smaller particles than 100 nm in diameter 3. Removal of particles on substrate with pattern, and investigation of its surface damage International EUVL Symposium, October 15-18, 2006 Barcelona, Spain Slide 18

Effects of Size, Humidity, and Aging on Particle Removal

Effects of Size, Humidity, and Aging on Particle Removal LEVITRONIX Ultrapure Fluid Handling and Wafer Cleaning Conference 2009 February 10, 2009 Effects of Size, Humidity, and Aging on Particle Removal Jin-Goo Park Feb. 10, 2009 Department t of Materials Engineering,

More information

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER Castellano, et al. Nuclear Transmutation in Deutered Pd Films Irradiated by an UV Laser. in 8th International Conference on Cold Fusion. 2000. Lerici (La Spezia), Italy: Italian Physical Society, Bologna,

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles

Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles Mat. Res. Soc. Symp. Proc. Vol. 737 23 Materials Research Society F1.5.1 Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles Toshiharu Makino *, Nobuyasu Suzuki, Yuka Yamada,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering

More information

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES 148 A p p e n d i x D SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES D.1 Overview The supplementary information contains additional information on our computational approach

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems

Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Director of the Particle Technology Laboratory Mechanical Engineering

More information

Very High Third-Order Nonlinear Optical Activities of Intrazeolite PbS Quantum Dots. Supporting Information

Very High Third-Order Nonlinear Optical Activities of Intrazeolite PbS Quantum Dots. Supporting Information Very High Third-Order Nonlinear Optical Activities of Intrazeolite PbS Quantum Dots Supporting Information SI-1. Preparation of Y g s The Y g s (2 2.5 cm 2 ) were prepared according to the procedure described

More information

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma J. Adhesion Sci. Technol., Vol. 18, No. 7, pp. 795 806 (2004) VSP 2004. Also available online - www.vsppub.com Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Multi-Purpose Nonlinear Optical Microscope. Principle and its Applications to Polar Thin Film Observation

Multi-Purpose Nonlinear Optical Microscope. Principle and its Applications to Polar Thin Film Observation Multi-Purpose Nonlinear Optical Microscope. Principle and its Applications to Polar Thin Film Observation Y. Uesu, N. Kato Department of Physics, Waseda University 3 4 1 Okubo, Shinjuku-ku, Tokyo 169-8555,

More information

The Removal of Nanoparticles from Nanotrenches Using Megasonics

The Removal of Nanoparticles from Nanotrenches Using Megasonics NSF Center for Micro and Nanoscale Contamination Control The Removal of Nanoparticles from Nanotrenches Using Megasonics Pegah Karimi 1, Tae Hoon Kim 1, Ahmed A. Busnaina 1 and Jin Goo Park 2 1 NSF Center

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2014 Electronic Supplementary Information CW-Laser-Induced Morphological Changes of

More information

DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm

DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm Ben Hunt, William Dick, Zeeshan Syedain MSP Corporation 1 AAAR 2013 3IM.4 Presentation Outline Particle Deposition

More information

Fundamental Study of Laser Micro Propulsion Using Powdered-Propellant

Fundamental Study of Laser Micro Propulsion Using Powdered-Propellant Fundamental Study of Laser Micro Propulsion Using Powdered-Propellant IEPC-2007-230 Presented at the 30 th International Electric Propulsion Conference, Florence, Italy S. Yokoyama *, and H. Horisawa Tokai

More information

30 MM CAGE CUBE-MOUNTED CIRCULAR POLARIZERS

30 MM CAGE CUBE-MOUNTED CIRCULAR POLARIZERS 30 MM CAGE CUBE-MOUNTED CIRCULAR POLARIZERS Creates Circularly Polarized Output from Arbitrarily Polarized Input 30 mm Cage Cube Housing Wave Plate can be Rotated to Output Linear or Elliptical Polarization

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

5. 3P PIV Measurements

5. 3P PIV Measurements Micro PIV Last Class: 1. Data Validation 2. Vector Field Operator (Differentials & Integrals) 3. Standard Differential Scheme 4. Implementation of Differential & Integral quantities with PIV data 5. 3P

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

Cleaning of Silicon-Containing Carbon Contamination

Cleaning of Silicon-Containing Carbon Contamination RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Accurate detection of interface between SiO 2 film and Si substrate

Accurate detection of interface between SiO 2 film and Si substrate Applied Surface Science 253 (2007) 5511 5515 www.elsevier.com/locate/apsusc Accurate detection of interface between SiO 2 film and Si substrate H.X. Qian a, W. Zhou a, *, X.M. Li b, J.M. Miao a, L.E.N.

More information

Synthetic Fused Silica Optical and technical grades

Synthetic Fused Silica Optical and technical grades SCHOTT LITHOTEC FUSED SILICA Synthetic Fused Silica Optical and technical grades Schott Lithotec Fused Silica LithosilTMQ is available in six different quality grades: LithosilTMQT is not specified concerning

More information

A Temperature Sensor Based on CdTe Quantum Dots/Layered Double. Hydroxide Ultrathin Films via Layer-by-Layer Assembly

A Temperature Sensor Based on CdTe Quantum Dots/Layered Double. Hydroxide Ultrathin Films via Layer-by-Layer Assembly # Supplementary Material (ESI) for Chemical Communications # This journal is The Royal Society of Chemistry 2012 A Temperature Sensor Based on CdTe Quantum Dots/Layered Double Hydroxide Ultrathin Films

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

LASCAD Tutorial No. 4: Dynamic analysis of multimode competition and Q-Switched operation

LASCAD Tutorial No. 4: Dynamic analysis of multimode competition and Q-Switched operation LASCAD Tutorial No. 4: Dynamic analysis of multimode competition and Q-Switched operation Revised: January 17, 2014 Copyright 2014 LAS-CAD GmbH Table of Contents 1 Table of Contents 1 Introduction...

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Using Alba with the FemtoFiber laser by Toptica for 2-photon quantitative imaging

Using Alba with the FemtoFiber laser by Toptica for 2-photon quantitative imaging TECHNICAL NOTE Using Alba with the FemtoFiber laser by Toptica for 2-photon quantitative imaging Shih-Chu Liao, Yuansheng Sun, Ulas Coskun ISS, Inc. Introduction The advantages of multiphoton excitation

More information

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

The Repeller Field debris mitigation approach for EUV sources

The Repeller Field debris mitigation approach for EUV sources The Repeller Field debris mitigation approach for EUV sources K. Takenoshita, C-S. Koay, M. Richardson (Laser Plasma Laboratory, School of Optics-CREOL at University of Central Florida) I.C.E. Turcu (JMAR

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL

Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL Development of Cs 2 Te photocathode RF gun system for compact THz SASE-FEL R. Kuroda, H. Ogawa, N. Sei, H. Toyokawa, K. Yagi-Watanabe, M. Yasumoto, M. Koike, K. Yamada, T. Yanagida*, T. Nakajyo*, F. Sakai*

More information

Defects Panel Discussion

Defects Panel Discussion Defects Panel Discussion David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering Director of the Particle Technology Laboratory University of Minnesota

More information

Electrically switchable organo inorganic hybrid for a white-light laser source

Electrically switchable organo inorganic hybrid for a white-light laser source Supporting Information Electrically switchable organo inorganic hybrid for a white-light laser source Jui-Chieh Huang 1,, Yu-Cheng Hsiao 2,, Yu-Ting Lin 2, Chia-Rong Lee 3 & Wei Lee 2,* 1 Institute of

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Determination of threshold values and monitoring of the surface state of semiconductors under pulsed laser irradiation

Determination of threshold values and monitoring of the surface state of semiconductors under pulsed laser irradiation Determination of threshold values and monitoring of the surface state of semiconductors under pulsed laser irradiation Volodymyr A. Gnatyuk,, Toru Aoki, Olexandr I. Vlasenko Olena S. Gorodnychenko V.E.

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

PISCES Laser Transient Systems

PISCES Laser Transient Systems Laser Transient Systems Karl R. Umstadter for Team Center for Energy Research University of California San Diego, USA February 11, 2009 Overview Introduction Use of Laser Heat Pulses PA Short Pulse Update

More information

Supporting file. Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal Si

Supporting file. Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal Si Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2018 Supporting file Pulse Laser Induced Size-controllable and Symmetrical Ordering of Single Crystal

More information

AFM Imaging In Liquids. W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division

AFM Imaging In Liquids. W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division AFM Imaging In Liquids W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division Imaging Techniques: Scales Proteins 10 nm Bacteria 1μm Red Blood Cell 5μm Human Hair 75μm Si Atom Spacing 0.4nm

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation

Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation Journal of Physics: Conference Series PAPER OPEN ACCESS Laboratory experiments on the formation and recoil jet transport of aerosol by laser ablation To cite this article: Yoshi Hirooka et al 2016 J. Phys.:

More information

Evaluation of Mo/Si multilayer for EUVL mask blank

Evaluation of Mo/Si multilayer for EUVL mask blank Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced

More information

EFFECT OF CO 2 LASER RADIATION ON SURFACE PROPERTIES OF SYNTHETIC FIBRES F. Esteves, H. Alonso ABSTRACT

EFFECT OF CO 2 LASER RADIATION ON SURFACE PROPERTIES OF SYNTHETIC FIBRES F. Esteves, H. Alonso ABSTRACT EFFECT OF CO 2 LASER RADIATION ON SURFACE PROPERTIES OF SYNTHETIC FIBRES F. Esteves, H. Alonso ABSTRACT Chemical treatment methods are most often used in the present for polymer surface modification; however,

More information

Supporting Information Available:

Supporting Information Available: Supporting Information Available: Photoresponsive and Gas Sensing Field-Effect Transistors based on Multilayer WS 2 Nanoflakes Nengjie Huo 1, Shengxue Yang 1, Zhongming Wei 2, Shu-Shen Li 1, Jian-Bai Xia

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Hydrogen Bonded Dimer Stacking Induced Emission of Amino-Benzoic Acid Compounds

Hydrogen Bonded Dimer Stacking Induced Emission of Amino-Benzoic Acid Compounds Electronic Supplementary Information (ESI) Hydrogen Bonded Dimer Stacking Induced Emission of Amino-Benzoic Acid Compounds Tianlei Zhou, Feng Li, Yan Fan, Weifeng Song, Xiaoyue Mu, Hongyu Zhang* and Yue

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

Deposition of polymeric thin films by PVD process. Hachet Dorian 09/03/2016

Deposition of polymeric thin films by PVD process. Hachet Dorian 09/03/2016 Deposition of polymeric thin films by PVD process Hachet Dorian 09/03/2016 Polymeric Thin Films nowadays The evaporation of polymers Ionization-Assisted Method Vacuum deposition 0,055eV/molecule at 1000

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Fabrication of microcraters on silicon substrate by UV nanosecond photonic nanojets from microspheres

Fabrication of microcraters on silicon substrate by UV nanosecond photonic nanojets from microspheres UVX 2012, 02003 (2013) DOI: 10.1051/uvx/201302003 C Owned by the authors, published by EDP Sciences, 2013 Fabrication of microcraters on silicon substrate by UV nanosecond photonic nanojets from microspheres

More information

NA NOPA RTICL E L INEA R FIL M POL A RIZER

NA NOPA RTICL E L INEA R FIL M POL A RIZER NA NOPA RTICL E L INEA R FIL M POL A RIZER UV, Visible, NIR, and IR Spectral Ranges Uounted and Mounted Versions Extinction Ratios up to 100 000:1 Laser Damage Thresholds up to 25 W/cm 2 Uounted Linear

More information

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM) Journal of Photopolymer Science and Technology Volume 15,Number 1(2002)127-132 2002TAPJ L Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope

More information

Fundamentals of Particle Counting

Fundamentals of Particle Counting Fundamentals of Particle Counting 1 Particle Counting: Remains the most significant technique for determining the cleanliness level of a fluid Useful as a tool for qualification and monitoring cleanroom

More information

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup 1 Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup Abstract Jacob Begis The purpose of this lab was to prove that a source of light can be

More information

Temperature-dependent spectroscopic analysis of F 2 + ** and F 2 + **-like color centers in LiF

Temperature-dependent spectroscopic analysis of F 2 + ** and F 2 + **-like color centers in LiF Journal of Luminescence 91 (2000) 147 153 Temperature-dependent spectroscopic analysis of F 2 + ** and F 2 + **-like color centers in LiF Neil W. Jenkins a, *, Sergey B. Mirov a, Vladimir V. Fedorov b

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures JARA FIT Ferienprakticum Nanoelektronik 2013 Experiment: Resonant tunneling in quantum structures Dr. Mihail Ion Lepsa, Peter Grünberg Institut (PGI 9), Forschungszentrum Jülich GmbH 1. Introduction The

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Carbon removal from trenches on EUV reticles

Carbon removal from trenches on EUV reticles Carbon removal from trenches on EUV reticles N.B. Koster a*, C.P.E.C. Geluk a, T.W. Versloot b, J.P.B. Janssen a, Y. Fleming c, T. Wirtz c a TNO, Stieltjesweg 1, 2628 CK Delft, The Netherlands; b ESA,

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

PIV Applications to Thermal Performance of LPG

PIV Applications to Thermal Performance of LPG PIV Applications to Thermal Performance of LPG Cooking Burner BY USA MAKMOOL And PROF.SUMRERNG JUGJAI Assoc. PROF. SUVIT TIA 1. Rational/ Problem Statement LPG consumption of Household sector (Thailand,

More information

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 ChiiDong Chen Institute of Physics, Academia Sinica chiidong@phys.sinica.edu.tw 02 27896766 Carbon contains 6 electrons: (1s) 2,

More information

NEM Relays Using 2-Dimensional Nanomaterials for Low Energy Contacts

NEM Relays Using 2-Dimensional Nanomaterials for Low Energy Contacts NEM Relays Using 2-Dimensional Nanomaterials for Low Energy Contacts Seunghyun Lee, Ji Cao 10/29/2013 A Science & Technology Professor H. -S. Philip Wong Electrical Engineering, Stanford University Center

More information