Effects of Size, Humidity, and Aging on Particle Removal

Size: px
Start display at page:

Download "Effects of Size, Humidity, and Aging on Particle Removal"

Transcription

1 LEVITRONIX Ultrapure Fluid Handling and Wafer Cleaning Conference 2009 February 10, 2009 Effects of Size, Humidity, and Aging on Particle Removal Jin-Goo Park Feb. 10, 2009 Department t of Materials Engineering, i Hanyang University, Korea +82 (0) jgpark@hanyang.ac.kr

2 Theoretical Background of Particle Adhesion For small uncharged particles (diameter, d<50 µm) on uncharged substrates, van der Waals interaction will be the predominant adhesion force considered. Van der Waals Force between Particles and Surfaces Simple expression for the interaction between particle (1) of radius R and surface (2) immersed in a medium (3) as a function of distance of separation, D. 2 D 3 R 1 F VDW = A DD R (N) Attractive in nature Hamaker Constant, A (Combining Law: Frequently used for obtaining approximate values for unknown Hamaker constant in terms of known ones.) A A A in vacuum or air A ( A A )( A A ) in third medium where A 11, A 22, and A 33 are Hamaker constant of materials 1, 2, 3 respectively. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas

3 Adhesion Induced Deformation When particle deformed, van der Waals force would increase as increased contact area AR Fadhesion = Fvdw + Fdeformation = D 2 a RD A: The Hamaker constant R: The particle radius D: The distance between particle and substrate (Usually it is assumed as 4 Ǻ) a : The contact radius (obtained from elastic, DMT, JKR, or plastic model, MP) For silica, PSL particle on Si surface, The MP model is applicable. a 2WR = A WA = 2 γγ 1 2 H W A is work of adhesion between particle and surface. γ 1 and γ 2 are surface free energy of two contact materials. (γ =70 2 = SiO2 mj/m, γ PSL mj/m ) H is the deformation part s hardness. (H SiO2 =750 Mpa, H PSL =32.4 MPa) Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas

4 Capillary Force Since samples exposes in Air, condensed liquid layer have been trapped between particle and substrate. γ L Fcapillary = (2 πrd)( ) = 4πRγ L cosθ r μm particle (10-8 N) Capillary force ( γ Water : mn/m γ IPA : mn/m Surface tension (dynes/cm) The capillary force as a function of the surface tension of liquid. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas

5 Experimental Setup Laser System for Characterization of Laser Shock Wave and Its Cleaning Performance Laser Source Q-switched Nd:YAG laser (1800E, IMT Inc., FWHM=10 ns) Wavelength: 1064 nm Maximum Energy: 2.0 J Repetition Frequency: up to 10 Hz Focal Lens: 150 mm focal length with an anti-reflection coating Motion of Wafer Scan: Spiral scan (r-θ-z axis) Probe Laser for Characterization ti CW He-Ne Laser (1.5mW, Thorlabs) Wavelength: nm Samples Substrate: Precleaned Si wafer Particles: Silica (1.0, 0.5, and 0.3 μm, Duke Scientific) c) Laser Shock Cleaning System, 1800E, IMT Inc Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 5

6 Experimental Procedure Sample Preparation Measurement Analysis Wafer precleaned Particle contamination 1.Particles were suspended in IPA. 2.Wafers were dip into solution for 5 min. 3.Dried out by N 2. Store samples ; Samples were stored in sealed boxes at different humidity and times. Characterize laser induced plasma (LIP) shock wave. LIP shock wave was performed at a laser energy of 1.8 J, a gap distance of 3 mm, and a radiation frequency of 10 Hz. Particles were counted by optical microscope with counting software. Particle removal efficiency was calculated by below equation. nd -ni -nc PRE (%) = 100 n - n where n i : the initial particle count before particle deposition, n d : the particle count after particle deposition, n c : the particle count after performing cleaning. d i Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 6

7 Simple Model for Laser-Induced Shock Wave The pressures of shock waves were calculated from the propagation speed of shock wave based on the Blast-wave theory. Effective in Predicting Pressure Temperature Energy-conversion efficiency Shock Wave Pressure P = P + (1 ρ / ρ) ρ ( U a) Density Increase in the Compressed Air Layer 2 ρ/ ρ0 = ( γ + 1)/( γ 1+ 2 M s ) where P 0 : ambient pressure. γ: adiabatic coefficient ( γ=1.4 for air) ρ 0 : density of air (ρ 0 =1.169 g/l for air) U: shock wave propagation speed a: sound speed M s : Mach number Reference: A. M. Azzeer, et al, Applied Physics B 63, 307 (1996) Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 7

8 Properties of Shock Wave 3500.) Intensity (a.u mm mm mm mm mm mm mm mm mm mm mm mm mm mm mm mm mm mm (m/s) Sh hock Wave Velocity ( J 1.5 J 1.8 J Shock Wave Press sure (MPa) Shock Wave Propagation Time (ns) 1.2 J 1.5 J 1.8 J Gap Distance (mm) Gap Distance (mm) Propagation speed and pressure were measured and calculated based on the deflection signals due to propagation of shock wave front. Propagation speed of shock wave decreased rapidly as a function of gap distance. There are not much differences in shock pressures at the long gap distance. Gap distance is more effective parameter than laser energy. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 8

9 Particle Trajectory due to Shock Wave From Side View Point Center This work was contributed by D. Kim from POSTECH. From Front View Point Center Dominant Direction of Particle Movement: Parallel to laser incident direction Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 9

10 Particle Removal Apart from Center Incident Laser Laser Induced Plasma Cleaned Area [Top View] Sweep mark due to propagation of shock wave showed that the drag force was dominant particle removal mechanism apart from center of cleaned area. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 10

11 Particle Removal Mechanism Shadowgraphy of Shockwave F Lift F Drag 1. Shock wave was generated by laser induced plasma and propagated and contacted sample surface. 2. Lifting force was dominant at the center of plasma due to reflected shock wave 3. Drag and lifting force was dominant apart from the center by propagation of shock wave and reflected wave. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 11

12 Variation of The Cleaning Moment and Resisting Moment Assumed that the drag force was dominant particle removal force Cleaning Moment, M R M A with F capillay and F vdw Resisting Moment, M A M A with F vdw M = F sin θ h R s y M A = Fscos θ ( hx + a) + Fa a v v F = ( P P) n da s A Ap 2 1 P: The pressure of LIP shock wave Capillary force would reduced the particle removal moment and followed by low particle removal efficiency. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 12

13 Silica particle suspended in IPA on Si substrate / 1.8 J, 3.0 mm, 10 Hz ency (%) Particle Removal Effici um R.H: 100% 1.0 μm Aging Time (days) PRE as a function of Aging Time, Relative Humidity, and Particle Size le Removal Effic ciency (%) Particl μm Humidity 0 % 50 % 100 % Humidity 0 % 50 % % After 5 days Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas le Removal Effic ciency (%) Partic Aging Time (days) 0.3 μm Humidity 0 % 50 % 100 % Aging Time (days) 16

14 Conclusions Particle removal mechanism of laser induced plasma (LIP) shock wave: Lift force was dominant at the center of plasma by reflected shock wave Drag and lifting force was dominant apart from the center by propagation of shock wave and reflected wave. Present capillary force between particle and substrate was key factor to reduce the particle removal moment. A bigger than 1 μm size of particle was not sensitive to humidity and aging time. As particle size decreased, Particle removal decreased rapidly even though particles were aged for short period. High condensed liquid layer might caused the generation of chemical bonding between particle and substrate. As a consequence, humidity control is much more important for high PRE as cleaning target particle size is being sub-micron. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 14

15 Acknowledgements This work has been supported by The Medium-term Strategic Technology Development Program The EUV R&D Program of Ministry of Commerce, Industry and Energy (MOCIE) The fostering project of the Lab of Excellency Post BK21 program. Sematech Surface Preparation and Cleaning Conference, Mar. 31-Apr. 2, 2008, Austin, Texas 15

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Surface Properties of EUVL. Laser Shock Cleaning (LSC) 2007 International EUVL Symposium, Japan Surface Properties of EUVL Mask Layers after High Energy Laser Shock Cleaning (LSC) Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim *, Jinho Ahn *, Jong-Myoung

More information

A short pulsed laser cleaning system for EUVL tool

A short pulsed laser cleaning system for EUVL tool A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

The Removal of Nanoparticles from Nanotrenches Using Megasonics

The Removal of Nanoparticles from Nanotrenches Using Megasonics NSF Center for Micro and Nanoscale Contamination Control The Removal of Nanoparticles from Nanotrenches Using Megasonics Pegah Karimi 1, Tae Hoon Kim 1, Ahmed A. Busnaina 1 and Jin Goo Park 2 1 NSF Center

More information

Shock Pressure Measurements for the Removal of Particles of Sub-micron Dimensions from Silicon Wafers

Shock Pressure Measurements for the Removal of Particles of Sub-micron Dimensions from Silicon Wafers Shock Pressure Measurements for the Removal of Particles of Sub-micron Dimensions from Silicon Wafers C.Curran, K.G.Watkins, J.M.Lee Laser Group Department of Engineering The University of Liverpool United

More information

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS George Adams, Ahmed A. Busnaina and Sinan Muftu the oratory Mechanical, Industrial, and Manufacturing Eng. Department Northeastern University, Boston,

More information

NANO AND MICROSCALE PARTICLE REMOVAL

NANO AND MICROSCALE PARTICLE REMOVAL NANO AND MICROSCALE PARTICLE REMOVAL Ahmed A. Busnaina William Lincoln Smith Professor and Director of the oratory Northeastern University, Boston, MA 2115-5 OUTLINE Goals and Objectives Approach Preliminary

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma J. Adhesion Sci. Technol., Vol. 18, No. 7, pp. 795 806 (2004) VSP 2004. Also available online - www.vsppub.com Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

More information

Particle removal in linear shear flow: model prediction and experimental validation

Particle removal in linear shear flow: model prediction and experimental validation Particle removal in linear shear flow: model prediction and experimental validation M.L. Zoeteweij, J.C.J. van der Donck and R. Versluis TNO Science and Industry, P.O. Box 155, 600 AD Delft, The Netherlands

More information

Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches

Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches 0013-4651/2006/153 9 /C603/5/$20.00 The Electrochemical Society Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches Kaveh Bakhtari, a Rasim O. Guldiken, a Ahmed A. Busnaina,

More information

Supporting Information. Tuning and Switching a Plasmonic Quantum Dot. Sandwich in a Nematic Line Defect

Supporting Information. Tuning and Switching a Plasmonic Quantum Dot. Sandwich in a Nematic Line Defect Supporting Information Tuning and Switching a Plasmonic Quantum Dot Sandwich in a Nematic Line Defect Haridas Mundoor, Ghadah H. Sheetah, Sungoh Park, Paul J. Ackerman, Ivan I. Smalyukh * and Jao van de

More information

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS Manish Keswani 1, Srini Raghavan 1, Pierre Deymier 1 and Steven Verhaverbeke 2 1 The University

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Outline Scanning Probe Microscope (SPM)

Outline Scanning Probe Microscope (SPM) AFM Outline Scanning Probe Microscope (SPM) A family of microscopy forms where a sharp probe is scanned across a surface and some tip/sample interactions are monitored Scanning Tunneling Microscopy (STM)

More information

AC-829A. Issued on Apr. 15 th 2013 (Version 1.0)

AC-829A. Issued on Apr. 15 th 2013 (Version 1.0) Hitachi Chemical Co., Ltd. Hitachi Anisotropic Conductive Film ANISOLM AC-829A Issued on Apr. 15 th 2013 (Version 1.0) 1. Standard specification, bonding condition, storage condition and characteristic.....1

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

NIS: what can it be used for?

NIS: what can it be used for? AFM @ NIS: what can it be used for? Chiara Manfredotti 011 670 8382/8388/7879 chiara.manfredotti@to.infn.it Skype: khiaram 1 AFM: block scheme In an Atomic Force Microscope (AFM) a micrometric tip attached

More information

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS Gautam Kumar, Shanna Smith, Florence Eschbach, Arun Ramamoorthy, Michael

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

L8: The Mechanics of Adhesion used by the Gecko

L8: The Mechanics of Adhesion used by the Gecko L8: The Mechanics of Adhesion used by the Gecko With help from Bo He Overview Gecko s foot structure Intermolecular force Measurement: 2-D MEMS sensor Gecko s adhesive mechanism Measurement results discussion

More information

Visualization of high-speed gas jets and their airblast sprays of cross-injected liquid

Visualization of high-speed gas jets and their airblast sprays of cross-injected liquid Short communications Experiments in Fluids 27 (1999) 102 106 Springer-Verlag 1999 Visualization of high-speed gas jets and their airblast sprays of cross-injected liquid K. D. Kihm, T. K. Kim, S. Y. Son

More information

Surfaces that Shed Dust:

Surfaces that Shed Dust: Surfaces that Shed Dust: Development, Performance and Characterization J. Devaud, A. Lawitzke, M. Crowder, R. Stover (BATC) X. Wang, A. Dove, S. Robertson, M. Horanyi (CCLDAS) Who we are: Ball Aerospace

More information

Digital Holographic Measurement of Nanometric Optical Excitation on Soft Matter by Optical Pressure and Photothermal Interactions

Digital Holographic Measurement of Nanometric Optical Excitation on Soft Matter by Optical Pressure and Photothermal Interactions Ph.D. Dissertation Defense September 5, 2012 Digital Holographic Measurement of Nanometric Optical Excitation on Soft Matter by Optical Pressure and Photothermal Interactions David C. Clark Digital Holography

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Hitachi Anisotropic Conductive Film ANISOLM AC-805A. Issued on Apr. 22, 2010

Hitachi Anisotropic Conductive Film ANISOLM AC-805A. Issued on Apr. 22, 2010 Hitachi Chemical Data Sheet Hitachi Anisotropic Conductive Film ANISOLM AC-85A Issued on Apr. 22, 21 1. Standard specification, bonding condition, storage condition and characteristic....1 2. Precautions

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

AFM Imaging In Liquids. W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division

AFM Imaging In Liquids. W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division AFM Imaging In Liquids W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division Imaging Techniques: Scales Proteins 10 nm Bacteria 1μm Red Blood Cell 5μm Human Hair 75μm Si Atom Spacing 0.4nm

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Lecture 12: Biomaterials Characterization in Aqueous Environments

Lecture 12: Biomaterials Characterization in Aqueous Environments 3.051J/20.340J 1 Lecture 12: Biomaterials Characterization in Aqueous Environments High vacuum techniques are important tools for characterizing surface composition, but do not yield information on surface

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Simple piezoresistive accelerometer

Simple piezoresistive accelerometer Simple piezoresistive pressure sensor Simple piezoresistive accelerometer Simple capacitive accelerometer Cap wafer C(x)=C(x(a)) Cap wafer may be micromachined silicon, pyrex, Serves as over-range protection,

More information

Experimental Optics. Optical Tweezers. Contact: Dr. Robert Kammel, Last edition: Dr. Robert Kammel, February 2016

Experimental Optics. Optical Tweezers. Contact: Dr. Robert Kammel,   Last edition: Dr. Robert Kammel, February 2016 Experimental Optics Contact: Dr. Robert Kammel, e-mail: Robert.Kammel@uni-jena.de Last edition: Dr. Robert Kammel, February 2016 Optical Tweezers Contents 1 Overview 2 2 Safety Issues 2 3 Theoretical background

More information

DLVO interaction between the spheres

DLVO interaction between the spheres DLVO interaction between the spheres DL-interaction energy for two spheres: D w ( x) 64c π ktrϕ e λ DL 2 x λ 2 0 0 D DLVO interaction w ( x) 64πkTRϕ e λ DLVO AR /12x 2 x λd 2 0 D Lecture 11 Contact angle

More information

Point mass approximation. Rigid beam mechanics. spring constant k N effective mass m e. Simple Harmonic Motion.. m e z = - k N z

Point mass approximation. Rigid beam mechanics. spring constant k N effective mass m e. Simple Harmonic Motion.. m e z = - k N z Free end Rigid beam mechanics Fixed end think of cantilever as a mass on a spring Point mass approximation z F Hooke s law k N = F / z This is beam mechanics, standard in engineering textbooks. For a rectangular

More information

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis: 50 Years Rick Russo Lawrence Berkeley National Laboratory Applied Spectra, Inc 2012 Laser Damage Boulder, CA September 25, 2012 Laser Ablation for Chemical Analysis:

More information

Supplementary table I. Table of contact angles of the different solutions on the surfaces used here. Supplementary Notes

Supplementary table I. Table of contact angles of the different solutions on the surfaces used here. Supplementary Notes 1 Supplementary Figure 1. Sketch of the experimental setup (not to scale) : it consists of a thin mylar sheet (0, 02 4 3cm 3 ) held fixed vertically. The spacing y 0 between the glass plate and the upper

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport Keren M. Freedy 1, Ashutosh Giri 2, Brian M. Foley 2, Matthew R. Barone 1, Patrick

More information

A Study of the Motion of Particles in Superfluid Helium-4 and Interactions with Vortices

A Study of the Motion of Particles in Superfluid Helium-4 and Interactions with Vortices J Low Temp Phys (2011) 162: 329 339 DOI 10.1007/s10909-010-0237-9 A Study of the Motion of Particles in Superfluid Helium-4 and Interactions with Vortices D. Jin H.J. Maris Received: 21 June 2010 / Accepted:

More information

Specification SPW08F0D

Specification SPW08F0D Specification SPW08F0D Drawn SSC Approval Customer Approval SPW08F0D 1. Description 2. Absolute Maximum Ratings 3. Electro Optical Characteristics 4. Characteristic Diagram 5. Reliability 6. CIE Chromaticity

More information

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Frank Ceballos 1, Ming-Gang Ju 2 Samuel D. Lane 1, Xiao Cheng Zeng 2 & Hui Zhao 1 1 Department of Physics and Astronomy,

More information

Lecture 7 Contact angle phenomena and wetting

Lecture 7 Contact angle phenomena and wetting Lecture 7 Contact angle phenomena and Contact angle phenomena and wetting Young s equation Drop on the surface complete spreading Establishing finite contact angle γ cosθ = γ γ L S SL γ S γ > 0 partial

More information

SRI LANKAN PHYSICS OLYMPIAD COMPETITION 2008

SRI LANKAN PHYSICS OLYMPIAD COMPETITION 2008 SRI LANKAN PHYSICS OLYMPIAD COMPETITION 008 Time Allocated : 0 Hours Calculators are not allowed to use. Date of Examination : 1 07 008 Index No. :. Time : 9.30 a.m. - 11.30 a.m. INSTRUCTIONS Answer all

More information

Wetting & Adhesion on Soft Surfaces Young s Law is dead long live Young s Law. Eric Dufresne

Wetting & Adhesion on Soft Surfaces Young s Law is dead long live Young s Law. Eric Dufresne Wetting & Adhesion on Soft Surfaces Young s Law is dead long live Young s Law Eric Dufresne KITP 2014 Wetting Adhesion 3mm 30 um Young s Law relates contact line geometry and material properties in equilibrium

More information

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis Tim Nunney The world leader in serving science 2 XPS Surface Analysis XPS +... UV Photoelectron Spectroscopy UPS He(I)

More information

Lecture 4 Scanning Probe Microscopy (SPM)

Lecture 4 Scanning Probe Microscopy (SPM) Lecture 4 Scanning Probe Microscopy (SPM) General components of SPM; Tip --- the probe; Cantilever --- the indicator of the tip; Tip-sample interaction --- the feedback system; Scanner --- piezoelectric

More information

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield.

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield. 1 2 3 4 Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO 2. Optical microscopy images of three examples of large single layer graphene flakes cleaved on a single

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE Masaki Hosoda, Robert E. Parks, and James H. Burge College of Optical Sciences University of Arizona Tucson, Arizona 85721 OVERVIEW This paper

More information

Toward Clean Suspended CVD Graphene

Toward Clean Suspended CVD Graphene Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Supplemental information for Toward Clean Suspended CVD Graphene Alexander Yulaev 1,2,3, Guangjun

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Graphene The Search For Two Dimensions. Christopher Scott Friedline Arizona State University

Graphene The Search For Two Dimensions. Christopher Scott Friedline Arizona State University Graphene The Search For Two Dimensions Christopher Scott Friedline Arizona State University What Is Graphene? Single atomic layer of graphite arranged in a honeycomb crystal lattice Consists of sp 2 -bonded

More information

! Importance of Particle Adhesion! History of Particle Adhesion! Method of measurement of Adhesion! Adhesion Induced Deformation

! Importance of Particle Adhesion! History of Particle Adhesion! Method of measurement of Adhesion! Adhesion Induced Deformation ! Importance of Particle Adhesion! History of Particle Adhesion! Method of measurement of Adhesion! Adhesion Induced Deformation! JKR and non-jkr Theory! Role of Electrostatic Forces! Conclusions Books:

More information

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems Dynasylan SIVO 110 +49-69-218-5656 SIVO SOL Technology for coating systems Description Dynasylan SIVO 110 resembles a multifunctional, basically VOC-free, water-borne sol-gel system. It is composed of

More information

Growth and collapse of laser-induced bubbles in glycerol water mixtures

Growth and collapse of laser-induced bubbles in glycerol water mixtures Vol 17 No 7, July 2008 c 2008 Chin. Phys. Soc. 1674-1056/2008/17(07)/2574-06 Chinese Physics B and IOP Publishing Ltd Growth and collapse of laser-induced bubbles in glycerol water mixtures Liu Xiu-Mei(

More information

Optical Microscopy Study of Topological Insulators Using Ellipsometry

Optical Microscopy Study of Topological Insulators Using Ellipsometry Optical Microscopy Study of Topological Insulators Using Ellipsometry Amber Schedlbauer August 23, 2011 1 Abstract An optical setup based on normal-incidence reflectivity allows the Magneto Optic Kerr

More information

ECE185 LIQUID CRYSTAL DISPLAYS

ECE185 LIQUID CRYSTAL DISPLAYS ECE185 LIQUID CRYSTAL DISPLAYS Objective: To study characteristics of liquid crystal modulators and to construct a simple liquid crystal modulator in lab and measure its characteristics. References: B.

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion

Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion VG04-123 Periodic Poling of Stoichiometric Lithium Tantalate for High-Average Power Frequency Conversion Douglas J. Bamford, David J. Cook, and Scott J. Sharpe Physical Sciences Inc. Jeffrey Korn and Peter

More information

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing Supporting information for: Ferroelectric Zinc Oxide Nanowire Embedded Flexible Sensor for Motion and Temperature Sensing Sung-Ho Shin 1, Dae Hoon Park 1, Joo-Yun Jung 2, Min Hyung Lee 3, Junghyo Nah 1,*

More information

Supporting Information

Supporting Information Supporting Information Analysis Method for Quantifying the Morphology of Nanotube Networks Dusan Vobornik*, Shan Zou and Gregory P. Lopinski Measurement Science and Standards, National Research Council

More information

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0.

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0. Specification GR101 Pb Free Drawn SSC Approval Customer Approval [ Contents ] 1. Description 2. Absolute maximum ratings 3. Electro-Optical characteristics 4. Characteristic diagrams 5. Reliability result

More information

OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR

OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR J. Cohen-Tanugi, M. Convery, B. Ratcliff, X. Sarazin, J. Schwiening, and J. Va'vra * Stanford Linear Accelerator Center, Stanford University,

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Fused silica suspension for the Virgo optics: status and perspectives

Fused silica suspension for the Virgo optics: status and perspectives Fused silica suspension for the Virgo optics: status and perspectives Helios Vocca for the Virgo Perugia Group The expected Virgo Sensitivity 10-15 Total sensitivity h(f) [1/sqrt(Hz)] 10-16 10-17 10-18

More information

Density Field Measurement by Digital Laser Speckle Photography

Density Field Measurement by Digital Laser Speckle Photography Density Field Measurement by Digital Laser Speckle Photography by M. Kawahashi and H. Hirahara Saitama University Department of Mechanical Engineering Shimo-Okubo 255, Urawa, Saitama, 338-8570, Japan ABSTRACT

More information

ULTRAFAST COMPONENTS

ULTRAFAST COMPONENTS ULTRAFAST COMPONENTS Mirrors CVI Laser Optics offers both the PulseLine family of optical components and other existing product lines that are ideal for use with femtosecond lasers and associated applications.

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model.

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model. Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model. Vivek Kapila a, Pierre A. Deymier a, Hrishikesh Shende a, Viraj Pandit b, Srini Raghavan a and Florence O. Eschbach

More information

Scanning Tunneling Microscopy

Scanning Tunneling Microscopy Scanning Tunneling Microscopy References: 1. G. Binnig, H. Rohrer, C. Gerber, and Weibel, Phys. Rev. Lett. 49, 57 (1982); and ibid 50, 120 (1983). 2. J. Chen, Introduction to Scanning Tunneling Microscopy,

More information

Scanning Force Microscopy

Scanning Force Microscopy Scanning Force Microscopy Roland Bennewitz Rutherford Physics Building 405 Phone 398-3058 roland.bennewitz@mcgill.ca Scanning Probe is moved along scan lines over a sample surface 1 Force Microscopy Data

More information

HYPER-RAYLEIGH SCATTERING AND SURFACE-ENHANCED RAMAN SCATTERING STUDIES OF PLATINUM NANOPARTICLE SUSPENSIONS

HYPER-RAYLEIGH SCATTERING AND SURFACE-ENHANCED RAMAN SCATTERING STUDIES OF PLATINUM NANOPARTICLE SUSPENSIONS www.arpapress.com/volumes/vol19issue1/ijrras_19_1_06.pdf HYPER-RAYLEIGH SCATTERING AND SURFACE-ENHANCED RAMAN SCATTERING STUDIES OF PLATINUM NANOPARTICLE SUSPENSIONS M. Eslamifar Physics Department, BehbahanKhatamAl-Anbia

More information

True Room Temperature Bonding a novel process for the creation of health tech consumables ATB. ir. Richard Bijlard Technogation - Invenios

True Room Temperature Bonding a novel process for the creation of health tech consumables ATB. ir. Richard Bijlard Technogation - Invenios True Room Temperature Bonding a novel process for the creation of health tech consumables ATB ir. Richard Bijlard Technogation - Invenios Technogation Invenios Dec 2014 Presentation Overview Invenios Group

More information

PHYSICS PRACTICAL (CBSE) - X

PHYSICS PRACTICAL (CBSE) - X PHYSICS PRACTICAL (CBSE) - X Scientific Terminology / Definitions Absolute refractive index (m) : It is the refractive index of the medium with respect to air or vacuum. Amplitude (A) : It is the maximum

More information

Physics 208 Exam 1 Oct. 3, 2007

Physics 208 Exam 1 Oct. 3, 2007 1 Name: Student ID: Section #: Physics 208 Exam 1 Oct. 3, 2007 Print your name and section clearly above. If you do not know your section number, write your TA s name. Your final answer must be placed

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup 1 Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup Abstract Jacob Begis The purpose of this lab was to prove that a source of light can be

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

General concept and defining characteristics of AFM. Dina Kudasheva Advisor: Prof. Mary K. Cowman

General concept and defining characteristics of AFM. Dina Kudasheva Advisor: Prof. Mary K. Cowman General concept and defining characteristics of AFM Dina Kudasheva Advisor: Prof. Mary K. Cowman Overview Introduction History of the SPM invention Technical Capabilities Principles of operation Examples

More information

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration

Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration Magnetic fields applied to laser-generated plasma to enhance the ion yield acceleration L. Torrisi, G. Costa, and G. Ceccio Dipartimento di Scienze Fisiche MIFT, Università di Messina, V.le F.S. D Alcontres

More information

New Sol-Gel Solution with 45 Days Stability for Preparation Silica Thin Films

New Sol-Gel Solution with 45 Days Stability for Preparation Silica Thin Films Iran. J. Chem. Chem. Eng. Vol. 26, No.3, 2007 New Sol-Gel Solution with 45 Days Stability for Preparation Silica Thin Films Adelkhani, Hadi* + ; Mellatnavaz, Bahram; Roohi, Hossein; Noorbakhsh, Mansoor

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Chapter 3 Contact Resistance Model with Adhesion between Contact

Chapter 3 Contact Resistance Model with Adhesion between Contact Chapter 3 Contact Resistance Model with Adhesion between Contact Surfaces In this chapter, I develop a contact resistance model that includes adhesion between contact surfaces. This chapter is organized

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

All-Inorganic Perovskite Solar Cells

All-Inorganic Perovskite Solar Cells Supporting Information for: All-Inorganic Perovskite Solar Cells Jia Liang, Caixing Wang, Yanrong Wang, Zhaoran Xu, Zhipeng Lu, Yue Ma, Hongfei Zhu, Yi Hu, Chengcan Xiao, Xu Yi, Guoyin Zhu, Hongling Lv,

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

NSF Center for Micro and Nanoscale Contamination Control

NSF Center for Micro and Nanoscale Contamination Control NSF Center for Micro and Nanoscale Contamination Control Research Focus at the NSF Center for Nano and Microcontamination Control Ahmed Busnaina W. L. Smith Professor and Director NSF Center for Microcontamination

More information

Anti-icing surfaces based on enhanced self-propelled jumping of condensed water microdroplets

Anti-icing surfaces based on enhanced self-propelled jumping of condensed water microdroplets Anti-icing surfaces based on enhanced self-propelled jumping of condensed water microdroplets Qiaolan Zhang, a,b Min He, a Jing Chen, a,b Jianjun Wang,* a Yanlin Song* a and Lei Jiang a a Beijing National

More information

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering

More information