High Brightness EUV Light Source for Metrology

Size: px
Start display at page:

Download "High Brightness EUV Light Source for Metrology"

Transcription

1 High Brightness EUV Light Source for Metrology Sergey V. Zakharov, Peter Choi, Vasily S. Zakharov EPPRA sas NANO UV sas Panel Discussion: Actinic Defect Inspection Technology for EUV MasksM

2 3 Remaining Focus Areas EUVL Symposium, Tahoe 08 EUVL Symposium Steering Committee identified three remaining focus areas that the industry needs to work on to enable EUVL manufacturing insertion: Long-term source operation with 100 W at the IF and 5 megajoule per day Availability of defect-free masks, throughout a mask lifecycle, and the need to address critical mask infrastructure tool gaps, specifically in the defect inspection and defect review area Simultaneous resist resolution sensitivity and LER ( ntent=techreport&utm_campaign=q308) - light source for Litho and mask inspection critical - COPYRIGHT NANO UV

3 Actinic Mask Inspection Tool Current State of the Art Worldwide the AIT and the EUVM are the highest performing EUV microscopes currently available for EUV mask research. However, to support pilot line operation and EUVL transition into manufacturing, a commercial EUV aerial imaging tool will be required for patterned mask defect review. - Synchrotron Light Source necessary - COPYRIGHT NANO UV

4 Metrology Source a very different source compared with Litho sources illumination field size - much smaller ( mm) 2 power density on target - irradiance much higher etendue - much smaller ( ~ mm 2.sr ) source brightness - much higher sufficient throughput mask blank inspection < 2 hrs pattern mask inspection throughput of < hrs for full mask AIMS throughput of < hrs for full mask different optics compared with Litho scanner small field size - diffractive optics small NA on Mask to match projection optics - Source brighter than a synchrotron is needed - COPYRIGHT NANO UV

5 EUV Brightfield Metrology - Requirements Mask defect inspection - the source required - relative defect response > N photon statistics Consider a CCD array (n n) detector, pixel size A p, being used to image the area of the mask under inspection - magnification of imaging optics, m, hence area to detect a defect is now A i =A p /m 2, and the total illuminated patch area on mask observed is A=A i n 2 - total illumination time: t =t A M m 2 /n 2 A p illuminating irradiance required: A t A R D t n - then for defect size 10 nm, a (9μm) 2 pixel size, CCD array and full size (4 2 (26 33) mm 2 ) mask inspection: N A D 2 N > A N Magnification, m Patch area, A (um2) 5.06E E E-03 Illuminating flux density (ph/cm2) 5.47E E E+14 Na illuminating A 1.16E E E+10 Irradiance at mask needed, 10 shots exposure (ph/s cm2) 2.74E E E+17 Mask exposure time (min) 2.16E E E+01 > 4 M (K. Goldberg, Hawaii, 2008) N A D A i A *additional time for positioning and alignment needed in each exposure N M (reflectivity R 60%) COPYRIGHT NANO UV

6 Optimized EUV Efficiency of a Source Plasma self-absorption defines the limiting brightness of a single EUV source and required radiance The plasma parameters where EUV radiance is a maximum are not the same as that when the spectral efficiency is a maximum. The spectral efficiency is high SE=11-12% at plasma density ρ = g/cm 3. The optimum Conversion Efficiency@IF (CE IF ) of in-band radiation is maximal at target radius value smaller than R< 0.38mm and decreases like R -2 at larger one. - the Conversion Efficiency of a single source decreases if the in-band EUV output. increases (at the same operation frequency) EUV Radiance, MW/mm2 sr Spectral Efficiency (Peuv/Prad) tin Effective Depth (rho2*r), g2/cm3 g 2 5 tin Z* Scan R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm COPYRIGHT NANO UV Effective Depth (rho2*r), g2/cm5 g 2 5

7 What is achievable with a single source? - LPP Critical density for Nd:YAG laser (ρ cr g/cm 3 ) is in the region of low spectral efficiency (SE). Example: to obtain <L EUV >=1W/mm 2 sr= L EUV τ f (τ R /C s ) corresponding to the inband power P EUV = <L EUV > 16πA s from conventional source the laser power required P l P EUV /η rad SE in optimum P l >2kW (η rad 0.5) operating with frequency f > 1kHz with R=63μm. The Spectral Efficiency (Peuv/Prad) tin Z* Scan R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm Density (rho), g/cm3 usable power with etendue mm 2 sr is W, i.e CE IF %. For LPP source based on CO 2 -laser the critical density is 100 times less. Example: to obtain <L EUV >=1W/mm 2 sr the spectral efficiency may be higher, up to SE 11%, but lower L EUV resulting in laser power required P l >1kW operating with khz f R -4. CO 2 -laser EUV source requires an additional spectral purity filter and anti-fast-ion protection that reduces the effective CE. COPYRIGHT NANO UV

8 What is achievable with a single source? - DPP In conventional single DPP source of Z-pinch type the plasma is heated up and compressed by magnetic field energy and pressure (B 2 /8π ). From Bennet ratio ρr M i R B 2 /(8π T(Z+1)) I 2 [A] / R [cm] The collectable in-band EUV power for given etendue E is [mm 2 sr] I P EUV (W) I 2 [A] E f [Hz] The joule heating should provide enough power: I 2 / (π σ) f > P EUV 16 π 2 R 2 / (E SE) R [cm] < 0.13 SE 0.5 To have high P EUV and radiance <L EUV > P EUV /16π2 R 2 from a single source in the optimal regime of high EUV radiance the current is high I [A] ~ R 0.5 supplied during short time τ(s) R [cm]. Example: the radiance obtained <L EUV >=1W/mm 2 sr corresponds to the conventional source in-band power P EUV =16W operating with the current I =8 ka and f > 4 khz. This current should be supplied to a small size R<0.3mm plasma during 50ns. The power required increases P R 2 with plasma size. B COPYRIGHT NANO UV

9 EUV IF Power Limitation: Xenon plasma EUV emission prediction vs. observation EUV Radiance, MW/mm2 sr xenon R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm Mass Depth (rho*r), g/cm2 Xenon plasma parameter scan with Z*-code showing the EUV radiance limitation Experimental observation of limitation of the EUV power at IF from xenon DPP source (M. Yoshioka et al. Alternative Lytho. Tech. Proc. of SPIE, vol () COPYRIGHT NANO UV

10 Emissivity, a.u. Total Emission of Xe XXI-Xe Xe XXIV ions from plasma with e-beame EUV Measurement Capillary discharge. VUV spectrograph data 0.1 ar) in o Wavelength (nm) o.4 o.3 o.2 pressure (mbar) Intensity (arb. units) 0.01 Xe 33 ev Total Xe 80eV + 2% 3keV Wavelength, nm Total EUV emission spectra of Xe XXI - XXIV ions from non-equilibrium plasma at 80 ev with 2% of fast electrons at 3 kev in comparison with emission spectrum of Xe XI ions from plasma at 33 ev (black). Electron density N e = /cm 3 COPYRIGHT NANO UV

11 Multiplexing - a solution for high power & brightness For small size source, the intensity of the inband emission is maximal in almost transparent plasma at ρr = ( ρr), near κωr ~ 1 The etendue from a single small size source is low enough E 1 =A s Ω << 1 mm 2 sr to be multiplexed. The EUV power of multiplexed N sources is P EUV as n i = Np n n Finally : P i EUV e EUV πr N ( ρ R R ~ To increase the power significantly we have to increase the plasma dimension R, or operation frequency f, or number of sources N. The EUV source power meeting the etendue requirements increases as N 1/2 2 ) E N Ω t f, Ω t f ; as EUV Radiance, MW/mm2 sr E /( N Ω) tin Z* Scan Mass Depth (rho*r), g/cm2 R=0.04mm R=0.08mm R=0.16mm R=0.31mm R=0.625mm R=1.25mm R=2.5mm R=5mm Decreasing the plasma size doesn t reduce the EUV radiance (if the plasma optical depth is kept constant) This allows efficient re packing of radiators from 1 into N separate smaller volumes without losses in EUV power - problem is the physical size of SoCoMo COPYRIGHT NANO UV

12 High Brightness EUV Source i-socomo Pilot production unit spec compact form factor mm spot size at up to 1 m distance photons/cm 2 4% BW inband EUV irradiance 3 khz continuous 3.3 kw average power consumption 1 Gshot lifetime to service in-build photon collection & projection plasma structure - PlasmaLens CYCLOPS BE-16 Discharge voltage EUV COPYRIGHT NANO UV

13 CYCLOPS BE-16 for Metrology Exceptional source brightness* and power** at 3 khz * irradiance greater than EUV photons/cm 2 /s measured at 64 cm from the source over a 5 mm 2 area, 13.5nm, 4%BW ** equivalent source power - more than 30 kw (2π sr, 13.5 nm, 4% BW) COPYRIGHT NANO UV

14 Source Characteristics Emission properties measured time averaged source diameter 0.6 mm FWHM 1.02 mm 2 spot size (1/e 2 ) emission angle source etendue EUV radiant brightness* 0.32 half angle 9.5 e-5 steradian 9.7 e-5 mm 2.sr 4.5 e18 photons/(mm 2.sr.s)/4% BW [1s average] 8.3 e22 photons/(mm 2.sr.s)/4% BW [1 pulse peak] * using average signal on SXUV5 diode of photons (91 ev) after 2 ML reflection - a very bright source for metrology - signal Source image through 400 μm pinhole - 1 min exposure, 6 E4 shots integrated image sensor at 108 cm from source Data1_B Gauss fit of Data1_B Data: Data1_B Model: Gauss Chi^2/DoF = R^2 = y ± xc ± w ± A ± dimension (mm) Guassian fit of emission profile recorded COPYRIGHT NANO UV

15 PlasmaLens Photodiode signal (mv) Photodiode signal (mv) Distance (mm) cm Gaussian 44cm Gaussian fit Distance (mm) Slit-scan spatial profile Optical properties Radial distance(mm) single Gaussian profile fitting to obtain radiation half width source diameter (1/e 2 spot size) 2.5 mm at 44 cm from exit of PCS; 69 cm from plasma source Half width Linear fit Half Half angle= angle= º Solide angle= sr -5 sr Axial distance from end of collimator (cm) COPYRIGHT NANO UV - very small etendue: < 10 4 mm 2.sr -

16 Wavefront Characteristics HASO X-EUV Shack-Hartmann wavefront sensor measurements * Movement of the focalization point in (µm) Source pointing stability Exposure duration = 60s Horizontal position Vertical position Exposure number Beam divergence half angle =0.18 Exposure time = 60s at 1kHz repition rate COPYRIGHT NANO UV EUV beam diameter = 9.1mm at distance between CCD and focal spot =1430mm Etendue =4.4e 5 mm 2 sr * with support of G. Dovillaire, E. Lavergne from Imagine Optic and P. Mercere, M. Idir from SOLEIL Synchrotron

17 HYDRA -12BE18 Design Specification - a EUV source for mask metrology photons/cm 2 /s/2% BW in band EUV irradiance 12x i SoCoMo units working at 5 khz each configurable pupil fill etendue ~ 10 2 mm 2.sr COPYRIGHT NANO UV

18 HYDRA -12BE18 System Performance - a 40 khz continuous source spatial multiplexing well behaved small cross talk in sequential operation plug and play needs to tune individual cell 10x i SoCoMo units commissioned so far GEN-II CYCLOPS cells 10 x 4 khz 40 khz COPYRIGHT NANO UV End-on view of 10 sources

19 Acknowledgements Collaborators Pontificia Universidad Catolica de Chile RRC Kurchatov Institute, Moscow, Russia Keldysh Institute of Applied Mathematics RAS, Moscow, Russia University College Dublin King s College London Sponsors EU & French Government ANR- EUVIL OSEO-ANVAR RAKIA EUV LITHO, Inc. COPYRIGHT NANO UV

20 Acknowledgements R&D & Product Engineering team Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Yves Chemla, Grainne Duffy, Olivier Coulibaly, Sebastian Fant, Julien Kuhn, Blair Lebert, Ouassima Sarroukh, Luc Tantart, Clement Zaepffel COPYRIGHT NANO UV

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source System Development for Actinic Mask Metrology High Brightness EUV Light Source System Development for Actinic Mask Metrology Peter Choi, Sergey V. Zakharov, Raul Aliaga-Rossel, Aldrice Bakouboula, Otman Benali, Philippe Bove, Michèle Cau, Grainne

More information

High Brightness EUV Light Source for Actinic Inspection & Microscopy

High Brightness EUV Light Source for Actinic Inspection & Microscopy High Brightness EUV Light Source for Actinic Inspection & Microscopy P. Choi, V.S. Zakharov, S.V. Zakharov, R. Aliaga-Rossel, A. Bakouboula, O. Benali, P. Bove, M. Cau, G. Duffy, O. Iwase, B. Lebert, O.

More information

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source P. Choi, S.V. Zakharov, R. Aliaga Rossel, O. Benali, O. Sarroukh, V.S. Zakharov EPPRA NanoUV Abstract EPPRA has developed a unique

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Pulsed-power based bright EUV light source for metrology

Pulsed-power based bright EUV light source for metrology Pulsed-power based bright EUV light source for metrology Sergey V. Zakharov NaextStream sas, Buc, France sergey.zakharov@naextstream.com + also with NRC Kurchatov Institute, Moscow, Russia 1 Sources for

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

EUV & Soft-X X Radiation Plasma Sources

EUV & Soft-X X Radiation Plasma Sources Properties of High-Intensity EUV & Soft-X X Radiation Plasma Sources Sergey V. Zakharov +, Vasily S. Zakharov 3, Peter Choi EPPRA sas, Villebon sur Yvette, France NRC Kurchatov Institute, Moscow, Russia

More information

The Future of EUV sources: a FIRE perspective

The Future of EUV sources: a FIRE perspective The Future of EUV sources: a FIRE perspective C Fanara EPPRA sas 2010 International Workshop on Extreme Ultraviolet Sources University College Dublin Dublin, Ireland - November 13-15 2010 OUTLOOK 1. EPPRA:

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range 203 International Workshop on EUV and Soft X-Ray Sources, November 3-7, 203, Dublin, Ireland Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range Vassily

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

Laser-driven undulator source

Laser-driven undulator source Laser-driven undulator source Matthias Fuchs, R. Weingartner, A.Maier, B. Zeitler, S. Becker, D. Habs and F. Grüner Ludwig-Maximilians-Universität München A.Popp, Zs. Major, J. Osterhoff, R. Hörlein, G.

More information

Dynamics of a laser-assisted Z-pinch EUV source

Dynamics of a laser-assisted Z-pinch EUV source Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

LDLS Laser-Driven Light Source

LDLS Laser-Driven Light Source LDLS Laser-Driven Light Source From The Innovators in Light ENERGETIQ 7/8/2011 1 Peter J. Dwyer, Ph.D. pdwyer@energetiq.com +1 781 939 0763 x 141 The LDLS Product Range EQ-99FC LDLS System EQ-1500 LDLS

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range

Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range 2 nd Swedish-German Workshop on X-Ray Optics HZB Berlin-Adlershof, 28-30 April 2015 Wavefront metrology and beam characterization in the EUV/soft X-ray spectral range K. Mann J.O. Dette, J. Holburg, F.

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope.

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope. OJC «RPC «Precision Systems and Instruments», Moscow, Russia A. Alexandrov, V. Shargorodskiy On the possibility to create a prototype of laser system for space debris movement control on the basis of the

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

Abstract. PEGASUS Toroidal Experiment University of Wisconsin-Madison

Abstract. PEGASUS Toroidal Experiment University of Wisconsin-Madison Abstract Measurement capabilities for the Pegasus ST are increasing to support the scientific studies of plasma behavior at very-low A. Global parameters are obtained from equilibrium reconstructions constrained

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE Copyright(C)JCPDS-International Centre for Diffraction Data 2003, Advances in X-ray Analysis, Vol.46 74 ISSN 1097-0002 LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE K. Chouffani 1, D. Wells

More information

RADIATION SOURCES AT SIBERIA-2 STORAGE RING

RADIATION SOURCES AT SIBERIA-2 STORAGE RING RADIATION SOURCES AT SIBERIA-2 STORAGE RING V.N. Korchuganov, N.Yu. Svechnikov, N.V. Smolyakov, S.I. Tomin RRC «Kurchatov Institute», Moscow, Russia Kurchatov Center Synchrotron Radiation undulator undulator

More information

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology Development of Radiation Hydrodynamic code STAR for EUV plasmas Atsushi Sunahara suna@ile.osaka-u.ac.jp Institute for Laser Technology 2013 International Workshop on EUV and Soft x-ray Sources University

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Characterisation & Use of Array Spectrometers

Characterisation & Use of Array Spectrometers Characterisation & Use of Array Spectrometers Mike Shaw, Optical Technologies & Scientific Computing Team, National Physical Laboratory, Teddington Middlesex, UK 1 Overview Basic design and features of

More information

Southern African Large Telescope. Prime Focus Imaging Spectrograph. Instrument Acceptance Testing Plan

Southern African Large Telescope. Prime Focus Imaging Spectrograph. Instrument Acceptance Testing Plan Southern African Large Telescope Prime Focus Imaging Spectrograph Instrument Acceptance Testing Plan Eric B. Burgh University of Wisconsin Document Number: SALT-3160AP0003 Revision 1.0 18 February 2003

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature10721 Experimental Methods The experiment was performed at the AMO scientific instrument 31 at the LCLS XFEL at the SLAC National Accelerator Laboratory. The nominal electron bunch charge

More information

AMO physics with LCLS

AMO physics with LCLS AMO physics with LCLS Phil Bucksbaum Director, Stanford PULSE Center SLAC Strong fields for x-rays LCLS experimental program Experimental capabilities End-station layout PULSE Ultrafast X-ray Summer June

More information

Brightness and Coherence of Synchrotron Radiation and Free Electron Lasers. Zhirong Huang SLAC, Stanford University May 13, 2013

Brightness and Coherence of Synchrotron Radiation and Free Electron Lasers. Zhirong Huang SLAC, Stanford University May 13, 2013 Brightness and Coherence of Synchrotron Radiation and Free Electron Lasers Zhirong Huang SLAC, Stanford University May 13, 2013 Introduction GE synchrotron (1946) opened a new era of accelerator-based

More information

Supplemental material for Bound electron nonlinearity beyond the ionization threshold

Supplemental material for Bound electron nonlinearity beyond the ionization threshold Supplemental material for Bound electron nonlinearity beyond the ionization threshold 1. Experimental setup The laser used in the experiments is a λ=800 nm Ti:Sapphire amplifier producing 42 fs, 10 mj

More information

X-ray Free-electron Lasers

X-ray Free-electron Lasers X-ray Free-electron Lasers Ultra-fast Dynamic Imaging of Matter II Ischia, Italy, 4/30-5/3/ 2009 Claudio Pellegrini UCLA Department of Physics and Astronomy Outline 1. Present status of X-ray free-electron

More information

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling G.A. Westenskow, D.P. Grote; LLNL J.W. Kwan, F. Bieniosek; LBNL PAC07 - FRYAB01 Albuquerque, New Mexico June 29, 2007 This work has

More information

Laser and pinching discharge plasmas spectral characteristics in water window region

Laser and pinching discharge plasmas spectral characteristics in water window region Laser and pinching discharge plasmas spectral characteristics in water window region P Kolar 1, M Vrbova 1, M Nevrkla 2, P Vrba 2, 3 and A Jancarek 2 1 Czech Technical University in Prague, Faculty of

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez EUV Source Workshop 29 May 2009 * This work

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

Seminar BELA STAR SIMULATOR

Seminar BELA STAR SIMULATOR Seminar BELA STAR SIMULATOR Sumita Chakraborty, Michael Affolter, Jakob Neubert (external contractor), Stefan Graf, Daniele Piazza and many more Universität Bern Content > Mercury > BepiColombo > MPO and

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Laser-driven intense X-rays : Studies at RRCAT

Laser-driven intense X-rays : Studies at RRCAT Laser-driven intense X-rays : Studies at RRCAT B. S. Rao Laser Plasma Division Team Effort Principal contributors : Experiment: P. D. Gupta, P. A. Naik, J. A. Chakera, A. Moorti, V. Arora, H. Singhal,

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Diffuse reflection BBSFG optical layout

Diffuse reflection BBSFG optical layout Diffuse reflection BBSFG optical layout Figure 1 shows the optical layout of the broad bandwidth sum frequency generation (BBSFG) system. A Nd:YVO 4 laser (a, Spectra-Physics MillenniaVs) pumps the Ti:Sapphire

More information

AP5301/ Name the major parts of an optical microscope and state their functions.

AP5301/ Name the major parts of an optical microscope and state their functions. Review Problems on Optical Microscopy AP5301/8301-2015 1. Name the major parts of an optical microscope and state their functions. 2. Compare the focal lengths of two glass converging lenses, one with

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR J. J. MacFarlane, P. Wang, I. E. Golovkin, P. R. Woodruff Prism Computational Sciences, Inc. Madison, WI (USA) http://www.prism-cs.com

More information

Electron temperature is the temperature that describes, through Maxwell's law, the kinetic energy distribution of the free electrons.

Electron temperature is the temperature that describes, through Maxwell's law, the kinetic energy distribution of the free electrons. 10.3.1.1 Excitation and radiation of spectra 10.3.1.1.1 Plasmas A plasma of the type occurring in spectrochemical radiation sources may be described as a gas which is at least partly ionized and contains

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

NA LASER GUIDE STAR AO WITH DYNAMICAL REFOCUS

NA LASER GUIDE STAR AO WITH DYNAMICAL REFOCUS Florence, Italy. Adaptive May 2013 Optics for Extremely Large Telescopes III ISBN: 978-88-908876-0-4 DOI: 10.12839/AO4ELT3.13893 NA LASER GUIDE STAR AO WITH DYNAMICAL REFOCUS Sebastian Rabien 1,a, Fernando

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Coulomb crystal extraction from an ion trap for application to nano-beam source"

Coulomb crystal extraction from an ion trap for application to nano-beam source Coulomb crystal extraction from an ion trap for application to nano-beam source" K. Ito, K. Izawa, H. Higaki and H. Okamoto,! Aadvanced Sciences of Matter, Hiroshima University,! 1-3-1 Kagamiyama, Higashi-Hiroshima,

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research Kenneth Goldberg, Iacopo Mochi Lawrence Berkeley National Laboratory 1 The main things you need to know EUV reticle Samsung 2007

More information

Light Source I. Takashi TANAKA (RIKEN SPring-8 Center) Cheiron 2012: Light Source I

Light Source I. Takashi TANAKA (RIKEN SPring-8 Center) Cheiron 2012: Light Source I Light Source I Takashi TANAKA (RIKEN SPring-8 Center) Light Source I Light Source II CONTENTS Introduction Fundamentals of Light and SR Overview of SR Light Source Characteristics of SR (1) Characteristics

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

X-TOD Update. Facility Advisory Committee Photon Breakout Session. October 30, 2007

X-TOD Update. Facility Advisory Committee Photon Breakout Session. October 30, 2007 XTOD Update Facility Advisory Committee Photon Breakout Session LCLS Layout Linac Undulator Hall FEE (Front-End-Enclosure) Diagnostics SOMS HOMS X-Ray Tunnel FEH (Far Experimental Hall) NEH (Near Experimental

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY FEL 2004 Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics M. Richter S.V. Bobashev, J. Feldhaus A. Gottwald, U. Hahn A.A. Sorokin, K. Tiedtke BESSY PTB s Radiometry Laboratory at BESSY II 1

More information

Modification of optical fibers using femtosecond laser irradiation

Modification of optical fibers using femtosecond laser irradiation Modification of optical fibers using femtosecond laser irradiation Hans G. Limberger Advanced Photonics Laboratory Swiss Federal Institute of Technology CH-1015 Lausanne, Switzerland Hans.limberger@epfl.ch

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

Simulations and design for soft X-ray beamlines at MAX IV. Rami Sankari

Simulations and design for soft X-ray beamlines at MAX IV. Rami Sankari Simulations and design for soft X-ray beamlines at MAX IV Rami Sankari Software for Optical Simulations, Workshop Trieste, 3-7 October 2016 Outline MAX IV Laboratory Description of the beamlines Needs

More information

Introduction to FT-IR Spectroscopy

Introduction to FT-IR Spectroscopy Introduction to FT-IR Spectroscopy An FT-IR Spectrometer is an instrument which acquires broadband NIR to FIR spectra. Unlike a dispersive instrument, i.e. grating monochromator or spectrograph, an FT-IR

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Richard Miles and Arthur Dogariu Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Workshop on Oxygen Plasma Kinetics Sept 20, 2016 Financial support: ONR and MetroLaser

More information

Recent improvement of the LHD Thomson scattering system

Recent improvement of the LHD Thomson scattering system Recent improvement of the LHD Thomson scattering system 1 National Institute for Fusion Science Oroshi 322-6, Toki, Gifu 509-5292, Japan E-mail: yamadai@lhd.nifs.ac.jp H. Funaba, R. Yasuhara, K. Narihara,

More information

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION Luigi Argenti, Andrea Brinciotti, Flavio Ferretti - Laserpoint s.r.l.- Vimodrone Italy New challenges from High Brightness

More information

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from

188 L. Jakubowski and M.J. Sadowski temperature. Some examples of the registered X-ray images are shown in Fig.1. Figure 1. X-ray pinhole images from Brazilian Journal of Physics, vol. 32, no. 1, March, 2002 187 Hot-Spots in Plasma-Focus Discharges as Intense Sources of Different Radiation Pulses L. Jakubowski and M.J. Sadowski The Andrzej Soltan Institute

More information

EUV sources using Xe and Sn discharge plasmas

EUV sources using Xe and Sn discharge plasmas INSTITUTE OF PHYSICS PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 37 (2004) 3254 3265 PII: S0022-3727(04)81553-5 EUV sources using Xe and Sn discharge plasmas Vladimir M Borisov,

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site

Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site 1 Diagnostic Systems for Characterizing Electron Sources at the Photo Injector Test Facility at DESY, Zeuthen site Sakhorn Rimjaem (on behalf of the PITZ team) Motivation Photo Injector Test Facility at

More information