Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Size: px
Start display at page:

Download "Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a"

Transcription

1 Solid State Phenomena Vols (29) pp Online available since 29/Jan/6 at (29) Trans Tech Publications, Switzerland doi:.428/ Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a 1 Axcelis Technologies Inc., 8 Cherry Hill Drive, Beverly, MA 191, USA a ivan.berrry@axcelis.com Keywords: Post-implant resist strip, ultra shallow junction, surface oxidation, silicon loss, dopant loss Introduction High dose, ultra shallow junction implant resist strip requires minimal substrate loss and dopant loss. Silicon recess (silicon loss) under the source/drain (S/D) extensions increases the S/D extension resistance and decreases drive currents by changing the junction profile. ITRS surface preparation technology roadmap [1] targets silicon loss to be.4å per cleaning step for 4nm and.3å for 32nm generation. Fluorine-containing chemistries which are often used to enhance implanted resist strip and residue removal result in unacceptable substrate loss. A non-fluorine plasma strip was developed in earlier work and is qualified for 4nm logic production [2]. The objective of this work is to study the substrate damage that is induced by the resist strip plasma process. Silicon surface oxidation and silicon loss of different plasma strip chemistries were evaluated with various metrologies such as optical ellipsometry, electrical oxide measurement,, TEM and mass measurement. The impact of different strip chemistries on dopant retention and distribution is also discussed. Experimental Silicon surface oxidation was studied on an Axcelis RapidStrip 32 (RpS32) plasma strip system for different O2/N2/H2-based plasma chemistries. Optical Ellipsometry (OE) was used for measuring the thickness of thin oxide (<2Å) films on non-implanted silicon substrates, while Electrical Oxide Thickness () was employed for implanted silicon wafers. X-ray Photoelectron Spectroscopy () and Transmission Electron Spectroscopy (TEM) were used to validate oxide thickness measurements. Silicon loss of implanted and non-implanted silicon substrates was also evaluated with a mass loss technique, where the mass was measured on blanket Si wafers, before and after plasma strip, followed by a diluted HF dip. Plasma-induced effects on dopants were evaluated with sheet resistance (Rs) measurements and secondary ion mass spectroscopy (SIMS) on BF 2 - and As-implanted Si wafers after plasma strip and anneal. The data presented in this paper is limited to plasma strip conditions with wafer temperatures of 24 C and plasma exposure times of mins. The extended plasma exposure time was chosen to enable improved thin film oxide measurement capabilities. Post ash oxide thickness (Å) 2 1 Ellipsometry No ash y = 1.21x R 2 = Ellipsometry Figure 1: ( Thin oxide thickness measurement by Ellipsometry and for both oxidizing and reducing plasma strip chemistries. (.Good correlation is shown between Ellipsometry and measurements. All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, (ID: , Pennsylvania State University, University Park, United States of America-14/6/14,17:16:)

2 2 Ultra Clean Processing of Semiconductor Surfaces IX Results and Discussion OE and measurement results of the chemical oxide thickness on bare Si wafers that were exposed to oxidizing or reducing plasma strip chemistries are shown in Fig. 1(, illustrating the reduced Si surface oxidation with the reducing plasma. The oxide thickness of a control wafer without plasma exposure was also measured. As indicated in Fig. 1 (, there is good correlation between these two measurements. Si oxide growth from exposure to different O2/N2/H2 strip plasmas was studied by measuring surface oxide thickness before and after strip. Fig. 2 shows the oxidation rates as a function of oxygen content for both hydrogen-free and hydrogen-containing plasma strip chemistries. The oxidation rates were normalized to the oxygen- and hydrogen-free plasma, i.e pure N 2 plasma. Higher oxidation rates were observed for hydrogen-containing plasma, presumably due to hydrogen-enhanced plasma oxidation. It is also noted that even very small amounts of O 2 (~.2%) resulted in significant oxidation. The oxide growth leveled off for hydrogen-free plasmas as the oxygen content is above %. Oxidation peaked at approximately % O 2 for hydrogen-containing plasma which may be due to OH* reactivity. Normalized oxidation rate With Hydrogen Without Hydrogen O2% content Figure 2: Normalized oxidation rates as a function of oxygen content for both hydrogen-free and hydrogen-containing plasma strip chemistries. Si surface oxidation on implanted silicon wafers is generally more challenging for optical metrology methods. Therefore the oxide thickness on implanted silicon wafers was measured by means of, as well as and TEM. Fig. 3a compares and measurements for oxidizing and Post ash oxide thickness (Ǻ) y = 1.464x R 2 = 1 No ash 2 3 Figure 3: ( Electrical Oxide Thickness () measurement and for both oxidizing and reducing plasma strip chemistries on implanted (As, 2keV, 1E1) silicon wafers. ( Good agreement is shown between and measurements.

3 Solid State Phenomena Vols reducing plasma strip chemistries. The oxide thickness of an unprocessed Si substrate, labeled no ash is provided as reference. As revealed in Fig. 3b there is good agreement between these two metrology techniques. Fig. 4a compares normalized oxidation rates, measured by, of implanted and non-implanted silicon substrates as a function of O 2 content. As expected, implanted silicon showed higher oxidation rates as a result of increased reactivity of the amorphized, ion-impact damaged surface. Normalized oxidation rate Non-implanted Implanted Si loss (Å) Non-implanted Si Implanted Si O2% content Fluorine-containing Plasma strip Figure 4: ( Oxidation rate as a function of O 2 content for both implanted (As, 2keV, 1E1) and non-implanted silicon wafers. ( Silicon loss (derived from mass loss) of both implanted (As, 2keV, 1E1) and non-implanted wafers for different plasma strip chemistries. Mass measurements were conducted to determine silicon loss of implanted and non-implanted silicon substrates for different plasma strip chemistries (Fig. 4. It shows that exposure to the same plasma condition shows more Si loss for the implanted Si as compared to un-implanted Si substrates, consistent with and results. As expected, the fluorine-containing strip resulted in the highest Si loss. The Si loss of the mass measurement can also be represented in terms of an equivalent change in surface oxide thickness, assuming that the Si loss is derived solely from surface oxidation and the subsequent removal in dhf. This is a reasonable assumption for fluorine-free strip chemistries where plasma-induced Si etching is not expected*. Fig. summarizes the Si surface oxidation measurement results from the different metrology methods. Although the absolute oxide thickness values may differ for different metrology techniques, Fig. indicates that relative comparisons (in this case comparing surface oxidation from oxidizing and reducing plasmas) are in good agreement. Oxide Thickness - vs Plasma (%) % 8% 6% 4% 2% % implanted Si Mass Optical non-implanted Si Figure : Comparison of different metrologies in determining relative change in surface oxidation between oxidizing and reducing strip plasma exposure. When evaluating plasma-induced substrate damage it is important to not only consider physical surface changes, i.e. Si loss, oxide growth, etc., but to also evaluate the effects on implant dopants.

4 22 Ultra Clean Processing of Semiconductor Surfaces IX Changes in sheet resistance (Rs) and SIMS profiles have been studied for different ash chemistries, which are shown in Fig. 7 as Rs x j plots. The x j is determined from SIMS profiles and indicates the depth from the surface where the dopant concentration reaches the Si substrate dopant level Rs (Ohms/square) No Plasma Xj (Å) Boron Rs (Ohms/Square) Arsenic No Plasma Xj (Å) Figure 6: Rs x j plots for BF 2 (left) and As (right) implanted Si after different plasma strip conditions (min oxidizing or reducing plasma exposure) and a s anneal at C under N 2 + 4ppm O 2 atmosphere. The Rs x j value for a no-plasma condition is provided for reference. Thus, Rs x j plots provide insight on changes to the dopant distribution as a result of strip plasma exposures [3]. With the solid line indicating constant dopant activation, data points falling above the line indicate suppressed activation and data points below the line signify enhanced activation. Boron implants show enhanced activation with oxidizing while reduced activation is observed with reducing chemistries. This is believed to be the result of the oxidizing strip chemistries forming a chemical oxide layer which serves as a surface capping layer and effectively preventing the out-diffusion of boron during subsequent anneal process. For arsenic implants this effect is not observed. Little change is noted for the reducing plasma, while the oxidizing plasma exhibits some dopant diffusion. Further studies indicate that the Rs for As implant seems to follow the silicon surface oxidation trend, thus indicating that dopant loss for As is mainly due to oxide formation which consumes dopant. More oxide is grown, more dopant is consumed. Summary This paper reviewed plasma strip induced substrate damage (silicon surface oxidation, silicon loss and dopant loss). Good correlation was found between ellipsometry, electrical oxide,, TEM and mass loss measurements of very thin oxide thickness (<2Å).The oxide growth during the plasma strip process is self-limiting and enhanced silicon surface oxidation was observed for hydrogen-containing plasma due to H* and OH* reactivity. Implanted silicon shows higher surface oxidation than un-implanted silicon. For the evaluation of strip induced substrate damage it is important to also assess dopant effects. Different effects have been observed for reducing and oxidizing chemistries as well as arsenic and boron implants. Optimized plasma resist strip processes need balancing between resist removal, surface cleanliness, Si oxidation (Si loss) and dopant retention. References [1] International Technology Roadmap for Semiconductors, 26 updates [2] K. Han, S. Luo, P. Geissbühler, Q. Han, I. Berry, R. Sonnemans, V. Grimm and C. Krueger, Non-fluorine plasma strip of HDI resist for 4nm node, PESM 27. [3] I. L. Berry, C. Waldfried, K. Han, S. Luo, R. Sonnemans, and M. Ameen, 8th International Workshop on Junction Technology, Shanghai, China (May 28) * H2 plasma without oxygen and nitrogen is known to etch silicon

5 Ultra Clean Processing of Semiconductor Surfaces IX.428/ Evaluation of Plasma Strip Induced Substrate Damage.428/

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

Novel Photo Resist Stripping for Single Wafer Process

Novel Photo Resist Stripping for Single Wafer Process Solid State Phenomena Vols. 103-104 (2005) pp 297-300 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.297

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon Solid State Phenomena Vols. 18-19 (25) pp 621-626 Online available since 25/Dec/15 at www.scientific.net (25) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.18-19.621 Infrared Absorption

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist 1/18 Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist Jeffery W. Butterbaugh 7 FSI International, 3455 Lyman Blvd., Chaska, MN 55318 USA jeff.butterbaugh@fsi-intl.com Outline 2/18

More information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment vyuji Kataoka vmayumi Shigeno vyoko Tada vkazutoshi Yamazaki vmasataka

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2005 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Diffusion in Extrinsic Silicon

Diffusion in Extrinsic Silicon 1 Diffusion in Extrinsic Silicon SFR Workshop & Review April 17, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements on P doped Si to complete

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e Solid State Phenomena Vol. 134 (28) pp 263266 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.263 Study of static electricity

More information

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University 微纳光电子材料与器件工艺原理 Doping 掺杂 Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Semiconductor PN Junctions Xing Sheng, EE@Tsinghua LEDs lasers detectors solar

More information

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface Solid State Phenomena Online: 24926 ISSN: 6629779, Vol. 29, pp 58 doi:.428/www.scientific.net/ssp.29.5 25 Trans Tech Publications, Switzerland Impact of electrostatic effects on wet etching phenomenon

More information

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France olid tate Phenomena Vol. 134 (2008) pp 79-82 Online available since 2007/ov/20 at www.scientific.net (2008) Trans Tech Publications, witzerland doi:10.4028/www.scientific.net/p.134.79 Peracetic acid as

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition 495 10.1149/1.2986806 The Electrochemical Society Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition Shotaro Takeuchi, Ngoc Duy Nguyen, Frederik Leys,

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Diffusion in Extrinsic Silicon and Silicon Germanium

Diffusion in Extrinsic Silicon and Silicon Germanium 1 Diffusion in Extrinsic Silicon and Silicon Germanium SFR Workshop & Review November 14, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

ToF-SIMS or XPS? Xinqi Chen Keck-II

ToF-SIMS or XPS? Xinqi Chen Keck-II ToF-SIMS or XPS? Xinqi Chen Keck-II 1 Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS) Not ToF MS (laser, solution) X-ray Photoelectron Spectroscopy (XPS) 2 3 Modes of SIMS 4 Secondary Ion Sputtering

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress JOURNAL OF APPLIED PHYSICS VOLUME 86, NUMBER 12 15 DECEMBER 1999 Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress C. N. Liao, a)

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower

Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower resistavity than p: due to higher carrier mobility Near linear

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant Supporting Information for A differential Hall effect measurement method with sub-nanometre resolution for active dopant concentration profiling in ultrathin doped Si 1 x Ge x and Si layers Richard Daubriac*

More information

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006 Microsc. Microanal. 12, 340 346, 2006 DOI: 10.1017/S1431927606060442 Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006 The Low Energy X-ray Spectrometry Technique as Applied to Semiconductors

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations Materials Science and Engineering B 124 125 (2005) 392 396 Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations J.E. Rubio a,, M. Jaraiz

More information

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Introduction Multilayer dielectric (MLD) diffraction gratings are essential components for the OMEGA EP short-pulse, high-energy laser

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Make sure the exam paper has 8 pages plus an appendix page at the end.

Make sure the exam paper has 8 pages plus an appendix page at the end. UNIVERSITY OF CALIFORNIA College of Engineering Deartment of Electrical Engineering and Comuter Sciences Fall 2000 EE143 Midterm Exam #1 Family Name First name Signature Make sure the exam aer has 8 ages

More information

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region Dopant Diffusion (1) Predeposition dopant gas dose control SiO Si SiO Doped Si region () Drive-in Turn off dopant gas or seal surface with oxide profile control (junction depth; concentration) SiO SiO

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz Solid State Phenomena Vol. 113 (2006) pp 603-608 Online available since 2006/Jun/15 at www.scientific.net (2006) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.113.603 The Mechatronics

More information

Nanometer-Scale Materials Contrast Imaging with a Near-Field Microwave Microscope

Nanometer-Scale Materials Contrast Imaging with a Near-Field Microwave Microscope Nanometer-Scale Materials Contrast Imaging with a Near-Field Microwave Microscope Atif Imtiaz 1 and Steven M. Anlage Center for Superconductivity Research, Department of Physics, University of Maryland,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy

Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy states of a material In some materials get the creation

More information

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION VLSI DESIGN 2001, Vol. 13, Nos. 4, pp. 459-- 463 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

Section 6: Ion Implantation. Jaeger Chapter 5

Section 6: Ion Implantation. Jaeger Chapter 5 Section 6: Ion Imlantation Jaeger Chater 5 Ion Imlantation - Overview Wafer is Target in High Energy Accelerator Imurities Shot into Wafer Preferred Method of Adding Imurities to Wafers Wide Range of Imurity

More information

Dopant Diffusion Sources

Dopant Diffusion Sources Dopant Diffusion (1) Predeposition dopant gas dose control SiO Si SiO Doped Si region () Drive-in Turn off dopant gas or seal surface with oide profile control (junction depth; concentration) SiO SiO Si

More information

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly Supporting Information: Beam Current and Sputtering Rate: Using a 16 kev Cs + primary ion beam and a 1 µm 2 rastered area, a 10 pa beam current produced a sputter rate of 0.9 nm/s for the radially profiled,

More information

DIFFUSION - Chapter 7

DIFFUSION - Chapter 7 DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling 233 Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling Martin D. Giles AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT Advanced integrated circuit processing requires

More information

Properties of Error Function erf(z) And Complementary Error Function erfc(z)

Properties of Error Function erf(z) And Complementary Error Function erfc(z) Properties of Error Function erf(z) And Complementary Error Function erfc(z) z erf (z) π e -y dy erfc (z) 1 - erf (z) erf () erf( ) 1 erf(- ) - 1 erf (z) d erf(z) dz π z for z

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey Section 7: Diffusion Jaeger Chapter 4 Surface Diffusion: Dopant Sources (a) Gas Source: AsH 3, PH 3, B 2 H 6 (b) Solid Source BN Si BN Si (c) Spin-on-glass SiO 2 +dopant oxide (d) Liquid Source. Fick s

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS

Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS Jürgen Lerche, SEMICON 2002 AMD, the AMD Arrow Logo and combinations thereof are trademarks of Advanced Micro Devices, Inc. 5/16/2002

More information

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82 Lecture 7 Oxidation Chapter 7 Wolf and Tauber 1/82 Announcements Homework: Homework will be returned to you today (please collect from me at front of class). Solutions will be also posted online on today

More information

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0947 Available online at http://www.idealibrary.com on A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Changing the Dopant Concentration. Diffusion Doping Ion Implantation Changing the Dopant Concentration Diffusion Doping Ion Implantation Step 11 The photoresist is removed with solvent leaving a ridge of polysilicon (the transistor's gate), which rises above the silicon

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

September 21, 2005, Wednesday

September 21, 2005, Wednesday , Wednesday Doping and diffusion I Faster MOSFET requires shorter channel P + Poly Al Al Motivation Requires shallower source, drain Al P + Poly Al source drain Shorter channel length; yes, but same source

More information

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal Fareen Adeni Khaja Technical Product Marketing, Front End Products Transistor and Interconnect Group Applied

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three commonly

More information

Lecture 5. Ion Implantation. Reading: Chapter 5

Lecture 5. Ion Implantation. Reading: Chapter 5 Lecture 5 Ion Implantation Reading: Chapter 5 Shockley patented the concept of Ion Implantation for semiconductor doping in 956 ( years after Pfann patented the diffusion concept). First commercial implanters

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa Simulation of Plasma Immersion Ion Implantation A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa 2011 International Conference on Simulation of Semiconductor Processes and Devices

More information

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA Fast Monte-Carlo Simulation of Ion Implantation Binary Collision Approximation Implementation within ATHENA Contents Simulation Challenges for Future Technologies Monte-Carlo Concepts and Models Atomic

More information

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI Chapter 2 The Well Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance Joseph A. Elias, Ph.D. Adjunct Professor, University of Kentucky; Modeling MTS, Cypress Semiconductor

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device 1 Supratim Subhra Das 2 Ria Das 1,2 Assistant Professor, Mallabhum Institute of Technology, Bankura,

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Electrochemical Society Proceedings Volume

Electrochemical Society Proceedings Volume CALIBRATION FOR THE MONTE CARLO SIMULATION OF ION IMPLANTATION IN RELAXED SIGE Robert Wittmann, Andreas Hössinger, and Siegfried Selberherr Institute for Microelectronics, Technische Universität Wien Gusshausstr.

More information

Oxidation of Si Surface Nitrogenated by Plasma Immersion N + Ion Implantation

Oxidation of Si Surface Nitrogenated by Plasma Immersion N + Ion Implantation Bulg. J. Phys. 39 (2012) 178 185 Oxidation of Si Surface Nitrogenated by Plasma Immersion N + Ion Implantation A. Szekeres 1, S. Alexandrova 2, E. Vlaikova 1, E. Halova 2 1 Institute of Solid State Physics,

More information

raw materials C V Mn Mg S Al Ca Ti Cr Si G H Nb Na Zn Ni K Co A B C D E F

raw materials C V Mn Mg S Al Ca Ti Cr Si G H Nb Na Zn Ni K Co A B C D E F Today s advanced batteries require a range of specialized analytical tools to better understand the electrochemical processes that occur during battery cycling. Evans Analytical Group (EAG) offers a wide-range

More information

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation Solid State Phenomena Vols. 97-98 (2004) pp 181-184 (2004) Trans Tech Publications, Switzerland Journal doi:10.4028/www.scientific.net/ssp.97-98.181 Citation (to be inserted by the publisher) Copyright

More information

Effects of High Energy Radiation on Mechanical Properties of PP/EPDM Nanocomposite

Effects of High Energy Radiation on Mechanical Properties of PP/EPDM Nanocomposite Advanced Materials Research Vols. 264-265 (2011) pp 738-742 Online available since 2011/Jun/30 at www.scientific.net (2011) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amr.264-265.738

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Accelerated Neutral Atom Beam (ANAB)

Accelerated Neutral Atom Beam (ANAB) Accelerated Neutral Atom Beam (ANAB) Development and Commercialization July 2015 1 Technological Progression Sometimes it is necessary to develop a completely new tool or enabling technology to meet future

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Semilab Technologies for 450mm Wafer Metrology

Semilab Technologies for 450mm Wafer Metrology Semilab Technologies for 450mm Wafer Metrology Tibor Pavelka Semilab Semiconductor Physics Laboratory Co. Ltd. Sem iconductorphysics Laboratory Co.Ltd. 1 Outline Short introduction to Semilab Technologies

More information